Travaux Dirigés FPGA & VHDL

Dimension: px
Commencer à balayer dès la page:

Download "Travaux Dirigés FPGA & VHDL"

Transcription

1 Université de Savoie Module ETRS-511 FPGA & VHDL Travaux Dirigés FPGA & VHDL Sylvain MONTAGNY Bâtiment chablais, bureau TD1 : Environnement de développement QUARTUS II TD2 : Synthèse de systèmes logiques combinatoires TD3 : Synthèse de systèmes logiques séquentiels TD4 : Video Graphic Array (VGA) TD5 : Mémoires RAM Retrouver tous les documents de Cours/TD/TP sur le site

2 Université de Savoie Module ETRS-511 FPGA et VHDL TD1 Environnement de développement QUARTUS II 1. Généralités sur la synthèse logique La documentation fournie par ALTERA sur l environnement de programmation Quartus II propose les différentes étapes pour l organisation d un projet. Dans le désordre, les étapes proposées sont : Functional Simulation the synthesized circuit is tested to verify its functional correctness; the simulation does not take into account any timing issues. Programming and Configuration the designed circuit is implemented in a physical FPGA chip by programming the configuration switches that configure the LEs and establish the required wiring connections. Fitting the CAD Fitter tool determines the placement of the LEs defined in the netlist into the LEs in an actual FPGA chip; it also chooses routing wires in the chip to make the required connections between specific LEs. Synthesis the CAD Synthesis tool synthesizes the circuit into a netlist that gives the logic elements (LEs) needed to realize the circuit and the connections between the LEs. Timing : Analysis and Simulation propagation delays along the various paths in the fitted circuit are analyzed to provide an indication of the expected performance of the circuit. (Analysis). The fitted circuit is tested to verify both its functional correctness and timing. (Simulation) Design Entry the desired circuit is specified either by using a hardware description language, such as Verilog or VHDL, or by means of a schematic diagram. Notes : LEs : Logic Elements CAD : Computer Aided Design Q1. Donner pour chacune des étapes une explication / traduction claire. Q2. Remplissez l organigramme suivant représentant toutes les étapes. 1/8

3 2/8

4 2. Projet sur la platine DE1 ALTERA La carte électronique sur laquelle nous allons travailler en TD/TP est la carte DE1 de chez ALTERA. Elle permet de mettre en œuvre toute une série d applications de tests. Figure 1 : Carte DE1 de chez ALTERA 2.1. Procédure d installation La procédure implique au minimum l installation de : QUARTUS II (Web Edition) + registration on ALTERA web Site for 150 days free Web Licence Drivers de la carte DE1 permettant de charger le code dans le FPGA. Après installation du kit de développement nous réalisons une application de test extrêmement simple. Q1. Expliquer pourquoi les applications permettant de tester le bon fonctionnement de la chaîne de développement doivent être très simple? 3/8

5 2.2. Logique combinatoire Nous allons tester notre platine en réalisant une porte NOR. Les entrées A et B seront prises sur l interrupteur SW0 et SW1 La sortie S sera la LED LEDR[0] La documentation de la carte DE1 précise une connexion de la LEDR[0] avec la broche nommée PIN_R20, SW0 avec la broche nommée PIN_L21, et SW1 avec la broche nommée PIN_L22. Q2. Remettre dans l ordre les actions à mener pour arriver à bout de cette petite application : Programmer la carte FPGA Tester le fonctionnement de l application sur la platine Affecter les broches du composant aux entrées / sorties du système Ouvrir un projet sous le logiciel QUARTUS II (Web Edition) Coder le comportement du composant Dessiner sur papier libre, la table de vérité, le schéma électronique, et/ou le diagramme d état du système. Coder la partie ENTITY du système. Q3. Faire les étapes précédentes que vous pouvez. A la compilation du code que vous avez créé, on obtient le rapport de compilation suivant : Figure 2 : Rapport de compilation du projet Q4. D après les caractéristiques du FPGA, quel serait selon vous l ordre de prix d un composant de ce type pour des faibles quantités. 4/8

6 Q5. Expliquer les champs suivants du rapport de compilation : Met timing requirements Total Logic Elements La section «Timing Analyser» permet d avoir des informations sur les différents temps de propagation à l intérieur du composant. Dans notre cas simple, nous avons : Figure 3 : Timing Analyser summary Q6. A l aide de la documentation de Quartus II ci-dessous, expliquer la signification de tpd? 2.3. Logique séquentielle synchrone Nous allons rajouter la présence d une horloge dans notre système. La sortie sera validée seulement sur la présence d un front montant d une horloge «clock». Q7. Donner le schéma logique global de cette application? Q8. Modifier l architecture VHDL précédente afin de mettre en œuvre cette nouvelle application. 5/8

7 Figure 4 : Rapport de compilation du projet en mode synchrone Q9. Justifier les parties qui ont évoluées par rapport au mode combinatoire. La présence de l horloge nous donne aussi une autre version du «compilation Report». Q10. Expliquer la 5 ème ligne du tableau. Figure 5 : Timing Analyser du projet en logique synchrone 2.4. Timing Constraints : La compilation du circuit avec Quartus II nous a fourni une valeur maximale de cadencement du système (f max ). Cette valeur peut ne pas être en adéquation avec le cahier des charges du produit final. Il est alors possible de préciser au compilateur les contraintes de temps à optimiser. Par exemple, dans le système précédent, nous pourrions souhaiter un cadencement à 400 Mhz au lieu des 380,08 Mhz trouvé. La fréquence souhaitée peut être sélectionnée dans : Assignment> Timing Analysis Settings >Classic Timing Analyser Settings 6/8

8 Plusieurs méthodes sont alors utilisables pour le compilateur puisse trouver le chemin le plus favorable à la contrainte fixée. Elles sont sélectionnables dans : Assignements>Settings>Fitter Settings. (Ci-dessous) Figure 6 : Fitter Effort Figure 7 : Extrait de la documentation sur les possibilités d'optimisation Q11. Expliquer chacune des 3 méthodes d optimisation qui sont proposées. A la compilation, nous avons ignoré un Warning couramment rencontré : Q12. Expliquer ce Warning et préciser si celui-ci (load capacitance) possède une influence quelconque sur les analyses de temps du système RTL Viewer L outil RTL Viewer (Tool>Netlist Viewers>RTL Viewer) permet d afficher le schéma designer par Quartus II et qui sera implémenté dans le FPGA. Dans notre cas, le schéma volontairement incomplet est le suivant : 7/8

9 Figure 8 : Vue incomplète du schéma fourni par le RTL Viewer Q13. Placer sur le schéma tous les éléments de la liste hiérarchique qu il est possible de retrouver. 8/8

10 Université de Savoie Module ETRS-511 FPGA et VHDL TD2 Synthèse de systèmes logiques combinatoires 1. Différentes méthodes de descriptions VHDL Nous allons étudier différentes façons de coder un projet VHDL. L entité du Top level sera toujours appelée appli. L architecture sera toujours appelée arch_appli. Le schéma du système est le suivant : a & b 1 1 S & c 1 Figure 1 : Schéma d'une application 1.1. Description par flot de données Le système est décrit par les équations booléennes qu il représente. Q1. Faire la description VHDL de ce composant Description comportementale Cette description permet de décrire le comportement sans faire appel aux équations mais en passant par la table de vérité. Q2. Réaliser la table de vérité de ce composant Q3. Faire une description comportementale de ce composant sans utiliser de process. Q4. Faire une description comportementale de ce composant en utilisant un process. 1/3

11 1.3. Description structurelles La description structurelle décrit la fonction à partir de composants préexistants. Il suffit de définir : La liste des composant utilisés (composant déjà écrit en VHDL par ailleurs) La liste des interconnexions entres ces composants Q5. Réaliser le composant ET Q6. Réaliser le composant NON Q7. Réaliser le composant OU Q8. Réaliser le composant globale en utilisant chacun des composants déjà réalisés. 2. Transcodeur On souhaite réaliser un transcodeur qui prend en entrée un mot BCD (Binaire Codé Décimal) de 4 bits ABCD (D poids faible) et qui produit les sorties (a b c d e f g) de commande des leds de manière à afficher les symboles décimaux correspondants sur l'afficheur 7 segments. a A B C D Transcodeur a b c d e f g f e g b c d Figure 2 : Schéma du composant et numérotation des segments de l'afficheur Réaliser un décodeur BCD 7 segments en VHDL. Vous utiliserez un afficheur 7 segments pour lequel un niveau logique bas allume le segment et un niveau haut éteint le segment. Les segments seront commandés par les combinaisons de 4 entrées. Lorsque le code binaire ne représente pas un chiffre décimal, l afficheur représentera un E symbolisant une erreur. Q1. Réaliser le code VHDL entité et architecture en utilisant une structure WITH, SELECT, WHEN. Q2. Réaliser le code VHDL entité et architecture en utilisant une structure avec CASE, WHEN. Lorsque le composant est chargé dans le FPGA, le RTL Viewer nous donne l implémentation matérielle suivante : 2/3

12 Figure 3 : Schéma partiel du RTL Viewer Note : Quel que soit la méthode de codage de l architecture (process ou instructions concurrentes), la compilation donne le même schéma RTL Viewer. Q3. Expliquer le schéma ci-dessus et préciser comment on arrive à allumer correctement chaque segment avec cette synthèse. 3/3

13 Université de Savoie Module ETRS-511 FPGA et VHDL TD3 Synthèse de systèmes logiques séquentiels 1. Jeu On veut concevoir un jeu du type «Question pour un champion» (4 joueurs), à l aide d une maquette DE1. L appui sur un bouton poussoir provoque un avertissement sonore (buzzer, commun à tous les joueurs) et le blocage du système. De plus, un signal lumineux (individuel à chaque joueur) spécifie le joueur ayant appuyé le premier parmi les quatre. Les autres joueurs ne peuvent alors plus intervenir car les autres boutons n ont alors plus d effet. Le système ne peut être remis à zéro que par l animateur à l aide d une remise à zéro (Clear). Le buzzer et les 4 signaux lumineux s éteignent. Q1. Faite un schéma électronique du système en considérant que vous utiliser la carte DE1. Q2. Donner le diagramme d états du dispositif. Q3. Ecrivez le programme permettant de réaliser cette fonction. Dans cette version du système, le buzzer retenti pendant tout le temps, jusqu'à ce que le présentateur appuis sur Clear. Cela peut être contraignant. Q4. Sachant que nous disposons d une horloge à 10 kz pour piloter notre système séquentiel, trouver une réalisation permettant d avoir un retentissement du buzzer uniquement pendant 0,5s. Implémenter votre nouveau système sans modifier le code des questions précédentes. (Simplement en rajoutant un nouveau composant au système). 2. Registre à décalage 2.1. Registre à décalage simple Q1. Construire un système de registre à décalage 8 bits Parallèle>Série. C'est-à-dire que les bits du vecteur d entrée (8 bits) sont transmis en sortie au rythme d une horloge (front montant) lorsque la commande «send» est envoyée au système. Un reset (RAZ) asynchrone sera prévu. 1/4

14 2.2. Registre à décalage liaison série Dans les liaison séries, l octet transmis sur la ligne est encadré par un bit de start (niveau bas) au début, et un bit de parité + un bit de stop (niveau haut) à la fin (cf Figure 1). Q2. Faire le nouveau codage VHDL de la liaison série. Figure 1 : Diagramme temporelle de la liaison série 3. Conception d un encodeur NRZI On utilise en communications numériques sur ligne câblée (paire torsadée, câble coaxial) divers codes de ligne pour communiquer en bande de base, c est à dire que le signal est transmis tel quel sur la ligne (sans modulation). Ces codes constituent tout simplement une façon de représenter les bits à transmettre. Ceci permet d obtenir des caractéristiques spectrales intéressantes et/ou des propriétés qui faciliteront la synchronisation au récepteur. Le code en ligne que nous allons étudier est la code NRZI (Non Return to Zero Inverted) qui est utilisé dans le standard USB (Universal Serial Bus). Dans ce code, seuls les 0 génèrent une transition. Ainsi, pour transmettre le message : « », on a la représentation suivante : 2/4

15 Figure 2 : le code en ligne NRZI Cet encodeur possède un désavantage majeur : si une trop longue séquence de bits 1 successifs est transmise, il n y a aucune transition sur la ligne. Ceci rend la synchronisation entre le transmetteur et le récepteur impossible. Pour remédier à cela, le standard USB prévoit une opération d intercalage de bits ( bit stuffing ). En effet, dès qu une chaîne de six 1 est transmise, on intercale un 0 pour forcer une transition. Il est facile d enlever ces 0 au récepteur pour recomposer le message original. Une portion de la chaîne de communication correspondante est illustrée sur la figure suivante : Figure 3 : Schéma de fonctionnement général La source : Elle génère les bits du message à transmettre sur le câble USB. Intercalage de bit: Il doit être capable de détecter une séquence de 6 1 successifs et de transmettre un message avec les éventuels «bits de stuffing». Si un «bit de stuffing» intervient, la ligne maintien est placée à 1 pour un coup d horloge afin de signaler à la source qu il faut attendre. Ce temps correspond au temps de mettre le bit supplémentaire dans le message. L encodeur NRZI : Bloc réalisant la fonction NRZI de la Figure 2. 3/4

16 3.1. Encodeur NRZI Q1. Donner le graphe détaillé d une machine d état réalisant seulement le bloc de l encodeur NRZI. On utilisera les variables du schéma de la Figure 3. Note : Nous prendrons arbitrairement un état initiale associé a une valeur de Sortie=0 pour commencer le diagramme d état. Q2. Donner le code VHDL de l encodeur NRZI avec une architecture codée en description comportementale. Q3. Construire le tableau regroupant les états courants, les entrées, les états suivants et les sorties pour le bloc «encodeur NRZI». Q4. Déterminer l équation des sorties : sortie et Etat Futur. Q5. Réaliser le schéma logique de votre machine d état. Q6. Donner le code VHDL de l encodeur NRZI avec une architecture codée en flot de donnée Intercalage de bits Q7. Réaliser la description VHDL du module «intercalage de bits». 4/4

17 Université de Savoie Module ETRS-511 FPGA et VHDL TD4 Video Graphic Array (VGA) L affichage vidéo VGA est un grand standard courant pour l affichage graphique. Il est valable quelque soit la technologie de l écran (cathodique ou LCD). 1. Objectifs : Nous avons pour objectif de réaliser une carte graphique très simple. Elle permettra au moyen de trois interrupteurs de réaliser l affichage des trois couleurs RGV (Red, Green and Blue) ou une combinaison des trois. 2. Le standard VGA 2.1. Présentation des signaux Une image est représentée par des Pixels (Picture Elements). Chaque pixel contient 3 chromophores, un Rouge (Red), un Vert (Green) et un Bleu (Blue). La combinaison des chromophores RBG permet de réaliser une infinité de couleur. 1/7

18 Figure 1 : Représentation d'une image à l'écran en 640x480 Un signal RGB contient 5 signaux : Une synchronisation horizontale, permet de contrôler la position horizontale de l image dans la zone visible de l écran Une synchronisation verticale, permet de contrôler la position verticale de l image dans la zone visible de l écran. Un signal analogique pour le Rouge (0 > 0,7V) Un signal analogique pour le Vert (0 > 0,7V) Un signal analogique pour le bleu (0 > 0,7V) Comme le montre la Figure 1, le rafraîchissement de l image débute en haut à gauche et se termine en bas à droite. Chaque pixel est défini l une après l autre. A la fin de la première ligne, le compteur des colonnes est remis à zéro et le compteur de ligne passe à 1, etc Jusqu à que l image soit complètement définie. La fréquence de l image doit être de 60Hz afin de réduire la perception du scintillement de l image Les timings du standard VGA En réalité, dans le mode VGA, le nombre total de ligne et de colonnes est de 800x524 mais seulement 640x480 sont affichées. Cela permet de laisser le temps pour revenir sur une nouvelle ligne ou de recommencer une nouvelle image. Pendant ce temps, (retour à la ligne ou recommencement d une nouvelle image), les signaux RGB doivent être mis à zéro (couleur noire). Ces temps sont appelés : «front porch» et «back porch». Le tableau ci-dessous indique des exemples de timings pour les différents standards. Il est important de noter que ces timings ne sont pas critiques et surtout qu ils ne sont pas des références! Chaque constructeur peut fournir des temps sensiblement différents sans pour autant porter préjudice à l affichage. En effet, dans une certaine plage, il est toujours possible d ajuster l image à droite, en haut, en bas ou à gauche grâce aux menus de l écran. 2/7

19 Format Pixel Clock (MHz) Active Video Horizontal (in Pixels) Front Porch Sync Pulse Back Porch Active Video Vertical (in Lines) Front Porch Sync Pulse 640x480, 60Hz x480, 72Hz x480, 75Hz x480, 85Hz x600, 56Hz x600, 60Hz x600, 72Hz x600, 75Hz x600, 85Hz x768, 60Hz x768, 70Hz x768, 75Hz x768, 85Hz Tableau 1 : Timing de l'ensemble des standards VGA Back Porch Dans la Figure 2, compt_h est le compteur des pixels horizontaux, permettant de savoir ou en est l affichage et de générer convenablement le signal de synchronisation horizontale. Figure 2 : Synchronisation horizontale Q1. D après le tableau des timings, compléter la variable compt_h de la Figure 2, sachant que nous travaillons en 640x480 à 60 Hz. 3/7

20 Figure 3 : Synchronisation verticale Q2. D après le tableau des timings, compléter la variable compt_v de la Figure 3. Q3. Compléter le Tableau 1 en retrouvant la fréquence d affichage des pixels (pixels Clock). Nous prendrons une fréquence de 25Mhz comme horloge de référence de notre système séquentiel. Q4. Dans la figure ci-dessous, retrouver tous les temps du chronogramme. Figure 4 : Signaux de synchronisation horizontal et RGB Q5. Réaliser le même type de schéma pour la synchronisation verticale. 3. Réalisation en VHDL Nous cherchons à implémenter le système de gestion des signaux VGA dans un FPGA. La carte DE1 de chez ALTERA possède en effet un connecteur VGA relié au FPGA. Le brochage de ce connecteur au FPGA est donné dans la documentation. La carte DE1 possède une horloge de 50 Mhz, à partir de laquelle nous allons cadencer l ensemble du système. 4/7

21 Q6. En annexes est présenté un exemple de schéma possible pour la réalisation de l interface VGA. Pour chacun des blocs, expliquer son comportement. Q7. Comment allez vous réaliser l architecture du bloc div2? Q8. Comment allez vous réaliser l architecture des blocs compteurs? Q9. Donner le diagramme d état des deux séquenceurs? 5/7

22 6/7

23 7/7

24 Université de Savoie Module ETRS-511 FPGA et VHDL TD5 Mémoires RAM Dans un système numérique il est souvent nécessaire de fournir une certaine quantité de mémoire afin de stocker et manipuler des variables. Si ce système numérique est implémenté à base de FPGA, il est possible d utiliser les ressources internes du composant du composant. Si des quantités de mémoire plus importante sont nécessaires, nous devons utiliser de la mémoire externe qui sera pilotée par le FPGA. Q1. Quelle quantité de mémoire interne peut intégrer le FPGA de la carte DE1 Altera? Q2. A l aide du User Manuel fournie avec la maquette DE1, démontrer la taille de 512 Ko pour la SRAM. Q3. Localiser la mémoire SRAM et la mémoire SDRAM sur la carte DE1. L acronyme de SRAM est Static RAM. L acronyme de SDRAM est Synchronous Dynamic RAM. Q4. En comparant les spécifications des datasheets ci-dessous et des shcémas du User manual des deux mémoires RAM, indiquer les informations qui justifient les principales caractéristiques (Static, Synchronous, Dynamic ) de chacune des mémoires. Figure 1 : Features of the SRAM memory 1/5

25 Figure 2 : Features of the SDRAM memory Nous nous proposons d implémenter la mémoire suivante dans le FPGA. Figure 3 : Mémoire RAM Q5. Quelle est la capacité de cette mémoire RAM? 2/5

26 1.1. Utilisation de la mémoire interne du FPGA Figure 4 : Implémentation de la mémoire RAM en interne avec un bloc M4K Les FPGA Cyclone II comporte des blocs mémoires appelés M4K. Chaque bloc mémoire est composé de 4096 bits de mémoire qui peuvent être configurés pour implémenter de la mémoire de taille variables. Les M4K blocs comportent des registres sur les entrées et possèdent deux ports différents pour l écriture et la lecture des données à destination, et en provenance de la mémoire. Lorsque nous souhaitons réaliser des applications complexes, les structures logiques telles que des additionneurs, des registres, des compteurs, des mémoires peuvent être implémentées en utilisant les modules LPM depuis les librairies paramétrables de Quartus II. Nous allons utiliser cette librairie pour implémenter la mémoire de la Figure 4. Créer un nouveau projet. Lancer l outil de création de composant : Tool>MegaWizard Plug-In Mangage>Create a new custom MegaFunction variation L écran 1 se constitue comme suit (Figure 5): 3/5

27 Figure 5 : Réalisation de la RAM interne en utilisant le Magawizard manager Dans l écran 2, sélectionner les blocs de mémoire M4K, et sélectionner single clock dans Wich cloking method do you like to use? Dans l écran 3, désélectionner q output port dans la catégorie Wich port sould be registered? Accepter les options par défaut des écrans suivants Simulation du composant Modifier le code VHDL produit pour faire correspondre les noms des entrées/sorties de notre système (Figure 4) Compiler le circuit. Vérifier dans le rapport de compilation que les bits ont bien été affectés. Simuler le circuit en essayant d écrire et de lire dans la mémoire Test du composant Nous allons créer une application de test à l aide d afficheur à 7 segments afin de pouvoir visualiser les adresses, les données écrites et les données lues dans chaque emplacement mémoire. Le code de la gestion de l afficheur 7 segments est fourni. 4/5

28 Créer un nouveau Top-level en schematic (New>Block Diagram/Schematic File) avec votre module RAM et vos afficheurs 7 segments. Pour obtenir un composant à partir des fichiers VHDL, vous devez les créer : Clic droit sur le composant (fichier VHDL) puis Create Symbol file for Current file. Réaliser un pin assignement qui vous permettra de tester le fonctionnement de la mémoire RAM à l aide des éléments de la platine DE1 : Entrée DE1 Signal Affichage SW0 à SW3 Datain HEX1 SW4 à SW7 Adress HEX3 SW9 Write LEDG0 KEY0 Clock Dataout HEX0 Tester votre application sur la platine DE1. 5/5

SIN-FPGA DESCRIPTION PAR SCHEMA

SIN-FPGA DESCRIPTION PAR SCHEMA SIN-FPGA DESCRIPTION PAR SCHEMA Documents ressources: http://www.altera.com/literature/lit-index.html Introduction to Quartus II : intro_to_quartus2.pdf Documentation QUARTUS II : quartusii_handbook.pdf

Plus en détail

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview.

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. Sciences et Technologies de l Industrie et du Développement Durable Formation des enseignants parcours : ET24 Modèle de

Plus en détail

IFT1215 Introduction aux systèmes informatiques

IFT1215 Introduction aux systèmes informatiques Introduction aux circuits logiques de base IFT25 Architecture en couches Niveau 5 Niveau 4 Niveau 3 Niveau 2 Niveau Niveau Couche des langages d application Traduction (compilateur) Couche du langage d

Plus en détail

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits Architecture des ordinateurs TD1 - Portes logiques et premiers circuits 1 Rappel : un peu de logique Exercice 1.1 Remplir la table de vérité suivante : a b a + b ab a + b ab a b 0 0 0 1 1 0 1 1 Exercice

Plus en détail

Manipulations du laboratoire

Manipulations du laboratoire Manipulations du laboratoire 1 Matériel Les manipulations de ce laboratoire sont réalisées sur une carte électronique comprenant un compteur 4-bit asynchrone (74LS93) avec possibilité de déclenchement

Plus en détail

VIII- Circuits séquentiels. Mémoires

VIII- Circuits séquentiels. Mémoires 1 VIII- Circuits séquentiels. Mémoires Maintenant le temps va intervenir. Nous avions déjà indiqué que la traversée d une porte ne se faisait pas instantanément et qu il fallait en tenir compte, notamment

Plus en détail

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE ARDUINO DOSSIER RESSOURCE POUR LA CLASSE Sommaire 1. Présentation 2. Exemple d apprentissage 3. Lexique de termes anglais 4. Reconnaître les composants 5. Rendre Arduino autonome 6. Les signaux d entrée

Plus en détail

1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique...

1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique... 1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique... 4 2 Quelques actions... 5 2.1 Ouvrir un document existant...5 2.2

Plus en détail

QUESTION 1 {2 points}

QUESTION 1 {2 points} ELE4301 Systèmes logiques II Page 1 de 8 QUESTION 1 {2 points} En se servant de paramètres électriques donnés dans le Tableau 1 ci-dessous, on désire déterminer la fréquence d opération du compteur présenté

Plus en détail

Once the installation is complete, you can delete the temporary Zip files..

Once the installation is complete, you can delete the temporary Zip files.. Sommaire Installation... 2 After the download... 2 From a CD... 2 Access codes... 2 DirectX Compatibility... 2 Using the program... 2 Structure... 4 Lier une structure à une autre... 4 Personnaliser une

Plus en détail

Mini_guide_Isis.pdf le 23/09/2001 Page 1/14

Mini_guide_Isis.pdf le 23/09/2001 Page 1/14 1 Démarrer...2 1.1 L écran Isis...2 1.2 La boite à outils...2 1.2.1 Mode principal...3 1.2.2 Mode gadgets...3 1.2.3 Mode graphique...3 2 Quelques actions...4 2.1 Ouvrir un document existant...4 2.2 Sélectionner

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot et Sébastien Viardot Année universitaire 2011-2012 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 C12 Codage des

Plus en détail

Chap17 - CORRECTİON DES EXERCİCES

Chap17 - CORRECTİON DES EXERCİCES Chap17 - CORRECTİON DES EXERCİCES n 3 p528 Le signal a est numérique : il n y a que deux valeurs possibles pour la tension. Le signal b n est pas numérique : il y a alternance entre des signaux divers

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2011-2012 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques WIDEMACV1 LAAS-CNRS 2011 Présentation du simulateur VHDL sous environnement Cadence Présentation

Plus en détail

Transmission d informations sur le réseau électrique

Transmission d informations sur le réseau électrique Transmission d informations sur le réseau électrique Introduction Remarques Toutes les questions en italique devront être préparées par écrit avant la séance du TP. Les préparations seront ramassées en

Plus en détail

Le multiplexage. Sommaire

Le multiplexage. Sommaire Sommaire Table des matières 1- GENERALITES... 2 1-1 Introduction... 2 1-2 Multiplexage... 4 1-3 Transmission numérique... 5 2- LA NUMERATION HEXADECIMALE Base 16... 8 3- ARCHITECTURE ET PROTOCOLE DES RESEAUX...

Plus en détail

Mini_guide_Isis_v6.doc le 10/02/2005 Page 1/15

Mini_guide_Isis_v6.doc le 10/02/2005 Page 1/15 1 Démarrer... 2 1.1 L écran Isis... 2 1.2 Les barres d outils... 3 1.2.1 Les outils d édition... 3 1.2.2 Les outils de sélection de mode... 4 1.2.3 Les outils d orientation... 4 2 Quelques actions... 5

Plus en détail

TD 1 - Transmission en bande de passe

TD 1 - Transmission en bande de passe Claude Duvallet Université du Havre UFR Sciences et Techniques 25 rue Philippe Lebon - BP 540 76058 LE HAVRE CEDEX Claude.Duvallet@gmail.com Claude Duvallet 1/10 Transmission en bande de passe (1/2) Description

Plus en détail

CLIP. (Calling Line Identification Presentation) Appareil autonome affichant le numéro appelant

CLIP. (Calling Line Identification Presentation) Appareil autonome affichant le numéro appelant 1. Besoin CLIP (Calling Line Identification Presentation) Appareil autonome affichant le numéro appelant ETUDE FONCTIONNELLE De très nombreux abonnés du réseau téléphonique commuté ont exprimé le besoin

Plus en détail

Comme chaque ligne de cache a 1024 bits. Le nombre de lignes de cache contenu dans chaque ensemble est:

Comme chaque ligne de cache a 1024 bits. Le nombre de lignes de cache contenu dans chaque ensemble est: Travaux Pratiques 3. IFT 1002/IFT 1005. Structure Interne des Ordinateurs. Département d'informatique et de génie logiciel. Université Laval. Hiver 2012. Prof : Bui Minh Duc. Tous les exercices sont indépendants.

Plus en détail

Dossier technique. Présentation du bus DMX et Utilisation des options EL13 / EL14 ERM AUTOMATISMES INDUSTRIELS 1 LE PROTOCOLE DMX 2

Dossier technique. Présentation du bus DMX et Utilisation des options EL13 / EL14 ERM AUTOMATISMES INDUSTRIELS 1 LE PROTOCOLE DMX 2 ERM AUTOMATISMES INDUSTRIELS 280 Rue Edouard Daladier 84973 CARPENTRAS Cedex Tél : 04 90 60 05 68 - Fax : 04 90 60 66 26 Site : http://www.erm-automatismes.com/ E-Mail : Contact@erm-automatismes.com 1

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2008-2009 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques Pentium4 Présentation du simulateur VHDL sous environnement Cadence Présentation de l outil Synopsys

Plus en détail

Chapitre 4 : Les mémoires

Chapitre 4 : Les mémoires 1. Introduction: Chapitre 4 : Les mémoires Nous savons que dans un ordinateur toutes les informations : valeur numérique, instruction, adresse, symbole (chiffre, lettre,... etc.) sont manipulées sous une

Plus en détail

Modules d automatismes simples

Modules d automatismes simples Modules d automatismes simples Solutions pour automatiser Modules d'automatismes Enfin, vraiment simple! Un concentré de solution Pour vos petites applications d'automatismes millenium gère : Temporisations

Plus en détail

TD Architecture des ordinateurs. Jean-Luc Dekeyser

TD Architecture des ordinateurs. Jean-Luc Dekeyser TD Architecture des ordinateurs Jean-Luc Dekeyser Fiche 1 Nombres de l informatique Exercice 1 Une entreprise désire réaliser la sauvegarde de ses données sur un site distant. Le volume de données à sauvegarder

Plus en détail

fullprotect inside EOLE SPEie RS E-SPEie 0.5-12-5V-0.6A-RS 1.0 revision Protection environnement Datasheet édition française

fullprotect inside EOLE SPEie RS E-SPEie 0.5-12-5V-0.6A-RS 1.0 revision Protection environnement Datasheet édition française Protection environnement Datasheet édition française 1.0 revision R-D-CO-D-27112011-1.0-C fullprotect inside SPEi Protection environnement interne SPEe Protection environnement externe SPEc Contrôle de

Plus en détail

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3. SIMULATION 7 " - 4.

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & '  ( ) '*+ , ##) #  -. /0  1 2  3. SIMULATION 7  - 4. TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3! " #$ % & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3' & 3. SIMULATION 7 0 ( 0, - 0 - " - & 1 4. LA SOURIS 11 5. LES RACCOURCIS CLAVIER 11 STI Electronique

Plus en détail

Cours Informatique 1. Monsieur SADOUNI Salheddine

Cours Informatique 1. Monsieur SADOUNI Salheddine Cours Informatique 1 Chapitre 2 les Systèmes Informatique Monsieur SADOUNI Salheddine Un Système Informatique lesystème Informatique est composé de deux parties : -le Matériel : constitué de l unité centrale

Plus en détail

Université de La Rochelle. Réseaux TD n 6

Université de La Rochelle. Réseaux TD n 6 Réseaux TD n 6 Rappels : Théorème de Nyquist (ligne non bruitée) : Dmax = 2H log 2 V Théorème de Shannon (ligne bruitée) : C = H log 2 (1+ S/B) Relation entre débit binaire et rapidité de modulation :

Plus en détail

Tests de performance du matériel

Tests de performance du matériel 3 Tests de performance du matériel Après toute la théorie du dernier chapitre, vous vous demandez certainement quelles sont les performances réelles de votre propre système. En fait, il y a plusieurs raisons

Plus en détail

Chapitre 18 : Transmettre et stocker de l information

Chapitre 18 : Transmettre et stocker de l information Chapitre 18 : Transmettre et stocker de l information Connaissances et compétences : - Identifier les éléments d une chaîne de transmission d informations. - Recueillir et exploiter des informations concernant

Plus en détail

GUIDE DE PRISE EN MAIN ISIS PROTEUS V7

GUIDE DE PRISE EN MAIN ISIS PROTEUS V7 GUIDE DE PRISE EN MAIN ISIS PROTEUS V7 1. Lancement d'isis PROTEUS V7:...2 2. Configuration de l'application d'isis PROTEUS V7:...3 3. Présentation de l'interface d'isis PROTEUS V7:...4 a) Barre de menus:...4

Plus en détail

TER Licence 3 Electronique des Télécoms Transmission GSM

TER Licence 3 Electronique des Télécoms Transmission GSM Université de Savoie Module TER (Travaux d études et réalisation) TER Licence 3 Electronique des Télécoms Transmission GSM Note : L ensemble des documents du projet se trouve sur l espace étudiant du site

Plus en détail

TP Modulation Démodulation BPSK

TP Modulation Démodulation BPSK I- INTRODUCTION : TP Modulation Démodulation BPSK La modulation BPSK est une modulation de phase (Phase Shift Keying = saut discret de phase) par signal numérique binaire (Binary). La phase d une porteuse

Plus en détail

Projet Matlab : un logiciel de cryptage

Projet Matlab : un logiciel de cryptage Projet Matlab : un logiciel de cryptage La stéganographie (du grec steganos : couvert et graphein : écriture) consiste à dissimuler une information au sein d'une autre à caractère anodin, de sorte que

Plus en détail

Document de formation pour une solution complète d automatisation Totally Integrated Automation (T I A) MODULE A5 Programmation de la CPU 314C-2DP

Document de formation pour une solution complète d automatisation Totally Integrated Automation (T I A) MODULE A5 Programmation de la CPU 314C-2DP Document de formation pour une solution complète d automatisation Totally Integrated Automation (T I A) MODULE A5 Document de formation T I A Page 1 sur 23 Module A5 Ce document a été édité par Siemens

Plus en détail

opti-vm Serveur Vocal et Standard Automatique Siemens HiPath 11xx et Hipath 12xx Installation et Guide Utilisateur Version 1.0

opti-vm Serveur Vocal et Standard Automatique Siemens HiPath 11xx et Hipath 12xx Installation et Guide Utilisateur Version 1.0 opti-vm Serveur Vocal et Standard Automatique Siemens HiPath 11xx et Hipath 12xx Installation et Guide Utilisateur Version 1.0 SOMMAIRE SOMMAIRE 1- INTRODUCTION... 4 1.1 Standard Automatique...4 1.2 Messagerie

Plus en détail

Logique binaire. Aujourd'hui, l'algèbre de Boole trouve de nombreuses applications en informatique et dans la conception des circuits électroniques.

Logique binaire. Aujourd'hui, l'algèbre de Boole trouve de nombreuses applications en informatique et dans la conception des circuits électroniques. Logique binaire I. L'algèbre de Boole L'algèbre de Boole est la partie des mathématiques, de la logique et de l'électronique qui s'intéresse aux opérations et aux fonctions sur les variables logiques.

Plus en détail

Projet # 3 Serrure à deux clés

Projet # 3 Serrure à deux clés Département d électronique industrielle Projet # 3 Serrure à deux clés Semaines 8 et 9, 10 Session 1 Circuits logiques 243-206-RA Automne 2010 Tables des matières 1 OBJECTIFS DE L ACTIVITÉ... 3 1.1 COMPÉTENCES

Plus en détail

SUR MODULE CAMÉRA C38A (OV7620)

SUR MODULE CAMÉRA C38A (OV7620) Applications maquette d'étude EP10K20 DÉMULTIPLEXEUR BT.656 SUR MODULE CAMÉRA C38A OV7620 SCHÉMAS ET DESCRIPTIONS AHDL 1. Schéma principal Le démultiplexeur proprement dit est la fonction "Decod_BT656_1".

Plus en détail

SCL LOGICIEL DE CONTROL

SCL LOGICIEL DE CONTROL SCL LOGICIEL DE CONTROL Version 1.3 MRC AUDIO LD- 500 www.mrcaudio.com 1 Contenu 1 - Bienvenu a MRC AUDIO SCL v1.3 2 - Installation du logiciel 3 - Configuration du programme SCL 4 - Contrôle des installations

Plus en détail

Chapitre 13 Numérisation de l information

Chapitre 13 Numérisation de l information DERNIÈRE IMPRESSION LE 2 septembre 2013 à 17:33 Chapitre 13 Numérisation de l information Table des matières 1 Transmission des informations 2 2 La numérisation 2 2.1 L échantillonage..............................

Plus en détail

Master d'informatique 1ère année Réseaux et protocoles. Couche physique

Master d'informatique 1ère année Réseaux et protocoles. Couche physique Master d'informatique 1ère année Réseaux et protocoles Couche physique Bureau S3-354 Mailto:Jean.Saquet@unicaen.fr http://saquet.users.greyc.fr/m1/rezopro Supports de communication Quelques exemples :

Plus en détail

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE I/ GÉNÉRALITÉS I.1/ Fonction Un compteur binaire est utilisé : -pour compter un certain nombre d'évènements binaires -pour diviser la fréquence d'un signal logique par 2 m Page 1 FONCTION COMPTAGE BINAIRE

Plus en détail

Projet M1 Année scolaire 2013/2014

Projet M1 Année scolaire 2013/2014 Institut Supérieur de l Électronique et du Numérique Tél. : +33 (0)2.98.03.84.00 Fax : +33 (0)2.98.03.84.10 20, rue Cuirassé Bretagne CS 42807-29228 BREST Cedex 2 - FRANCE Projet M1 Année scolaire 2013/2014

Plus en détail

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd UE 503 L3 MIAGE Initiation Réseau et Programmation Web La couche physique A. Belaïd abelaid@loria.fr http://www.loria.fr/~abelaid/ Année Universitaire 2011/2012 2 Le Modèle OSI La couche physique ou le

Plus en détail

1. PRESENTATION DU PROJET

1. PRESENTATION DU PROJET Bac STI2D Formation des enseignants Jean-François LIEBAUT Denis PENARD SIN 63 : Prototypage d un traitement de l information analogique et numérique (PSoC) 1. PRESENTATION DU PROJET Les systèmes d éclairage

Plus en détail

Une liste des distributeurs Paxton se trouve sur le site Internet - http://paxton.info/508

Une liste des distributeurs Paxton se trouve sur le site Internet - http://paxton.info/508 1/1/009 Ins-30108-F Kit d évaluation Net Paxton Pour une assistance technique, merci de contacter votre fournisseur Une liste des distributeurs Paxton se trouve sur le site Internet - http://paxton.info/508

Plus en détail

J LOGOSCREEN nt Enregistreur sans papier avec écran TFT et carte CompactFlash. B 70.6580.0 Notice de mise en service 08.

J LOGOSCREEN nt Enregistreur sans papier avec écran TFT et carte CompactFlash. B 70.6580.0 Notice de mise en service 08. J LOGOSCREEN nt Enregistreur sans papier avec écran TFT et carte CompactFlash B 70.6580.0 Notice de mise en service 08.06/00453814 Structure des menus de l enregistreur sans papier v Chapitre 8 «Exploitation

Plus en détail

Transmissions série et parallèle

Transmissions série et parallèle 1. Introduction : Un signal numérique transmet généralement plusieurs digits binaires. Exemple : 01000001 ( huit bits). Dans une transmission numérique on peut envisager deux modes : les envoyer tous en

Plus en détail

Programme. 048884-EcranTactile-01 MANUEL DE MISE EN ŒUVRE

Programme. 048884-EcranTactile-01 MANUEL DE MISE EN ŒUVRE Programme d application 048884-EcranTactile-01 MANUEL DE MISE EN ŒUVRE Sommaire 4 5 7 10 11 13 14 18 19 21 22 Description des fonctionnalités du produit Généralité concernant le fonctionnement Utilisation

Plus en détail

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007 Introduction à l architecture des ordinateurs Adrien Lebre Décembre 2007 Plan - partie 1 Vue d ensemble La carte mère Le processeur La mémoire principal Notion de bus Introduction à l architecture des

Plus en détail

Guide d'installation rapide TFM-560X YO.13

Guide d'installation rapide TFM-560X YO.13 Guide d'installation rapide TFM-560X YO.13 Table of Contents Français 1 1. Avant de commencer 1 2. Procéder à l'installation 2 Troubleshooting 6 Version 06.08.2011 16. Select Install the software automatically

Plus en détail

Matériel & Logiciels (Hardware & Software)

Matériel & Logiciels (Hardware & Software) CHAPITRE 2 HARDWARE & SOFTWARE P. 1 Chapitre 2 Matériel & Logiciels (Hardware & Software) 2.1 Matériel (Hardware) 2.1.1 Présentation de l'ordinateur Un ordinateur est un ensemble de circuits électronique

Plus en détail

Tutoriel de formation SurveyMonkey

Tutoriel de formation SurveyMonkey Tutoriel de formation SurveyMonkey SurveyMonkey est un service de sondage en ligne. SurveyMonkey vous permet de créer vos sondages rapidement et facilement. SurveyMonkey est disponible à l adresse suivante

Plus en détail

Manuel programmation QUESTOR

Manuel programmation QUESTOR QUESTOR Programmation et activation AS 271 et AS 270 Document: KSW3s527.0003 / fr 2010.08 Edition: Août 2010 QUESTOR TABLE DES MATIÉRES 1 Description du produit FR-4 1.1 Application.... FR-4 1.1.1 Logiciel

Plus en détail

PIC EVAL Dev Board PIC18F97J60

PIC EVAL Dev Board PIC18F97J60 PIC EVAL Dev Board PIC18F97J60 2 TP1 : Prise en main de l environnement de programmation pour la carte PIC EVAL-ANFA Pour répondre aux questions et justifier vos réponses, vous pouvez faire des copies

Plus en détail

J AUVRAY Systèmes Electroniques TRANSMISSION DES SIGNAUX NUMERIQUES : SIGNAUX EN BANDE DE BASE

J AUVRAY Systèmes Electroniques TRANSMISSION DES SIGNAUX NUMERIQUES : SIGNAUX EN BANDE DE BASE RANSMISSION DES SIGNAUX NUMERIQUES : SIGNAUX EN BANDE DE BASE Un message numérique est une suite de nombres que l on considérera dans un premier temps comme indépendants.ils sont codés le plus souvent

Plus en détail

Prise en main. Prise en main - 0

Prise en main. Prise en main - 0 Prise en main 0404 Prise en main - 0 1- Introduction Creative Professional Merci d avoir choisi le Digital Audio System 0404 d E-MU. Nous avons conçu ce produit E-MU pour qu il soit logique, intuitif et

Plus en détail

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE QCM Remarque : - A une question correspond au moins 1 réponse juste - Cocher la ou les bonnes réponses Barème : - Une bonne réponse = +1 - Pas de réponse = 0

Plus en détail

GPA770 Microélectronique appliquée Exercices série A

GPA770 Microélectronique appliquée Exercices série A GPA770 Microélectronique appliquée Exercices série A 1. Effectuez les calculs suivants sur des nombres binaires en complément à avec une représentation de 8 bits. Est-ce qu il y a débordement en complément

Plus en détail

Master4Light. Caractérisation Optique et Electrique des Sources Lumineuses. Equipement 2-en-1 : source de courant et spectrophotomètre

Master4Light. Caractérisation Optique et Electrique des Sources Lumineuses. Equipement 2-en-1 : source de courant et spectrophotomètre DSF-M4L-Rev2.0-04/12 Master4Light Equipement 2-en-1 : source de courant et spectrophotomètre Interface graphique logicielle, connexion USB Configuration personnalisable : laboratoire et in-situ http://www.majantys.com

Plus en détail

ET 24 : Modèle de comportement d un système Introduction à Labview et initiation à la réalisation d un Instrument Virtuel (VI).

ET 24 : Modèle de comportement d un système Introduction à Labview et initiation à la réalisation d un Instrument Virtuel (VI). ET 24 : Modèle de comportement d un système Introduction à Labview et initiation à la réalisation d un Instrument Virtuel (VI). Sciences et Technologies de l Industrie et du Développement Durable Formation

Plus en détail

Manuel d utilisation

Manuel d utilisation Manuel d utilisation Table des matières 1. Fonctionnalités 2. Caractéristiques 3. Pièces et accessoires 4. Vue rapide de l appareil 5. Installation d un disque dur SATA 6. Le logiciel de sauvegarde 6.1

Plus en détail

SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION. Contenu du dossier :

SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION. Contenu du dossier : SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION Contenu du dossier : 1. PRESENTATION DU SYSTEME DE PALPAGE A TRANSMISSION RADIO....1 1.1. DESCRIPTION DU FABRICANT....1

Plus en détail

Millenium3 Atelier de programmation

Millenium3 Atelier de programmation Millenium3 Millenium 3 Millenium3 1. Aide en ligne CLSM3... 2 1.1 Présentation de l'atelier de programmation... 2 1.1.1 Présentation de l'atelier de programmation... 2 1.2 Comment débuter avec l'atelier

Plus en détail

Leçon 1 : Les principaux composants d un ordinateur

Leçon 1 : Les principaux composants d un ordinateur Chapitre 2 Architecture d un ordinateur Leçon 1 : Les principaux composants d un ordinateur Les objectifs : o Identifier les principaux composants d un micro-ordinateur. o Connaître les caractéristiques

Plus en détail

Software and Hardware Datasheet / Fiche technique du logiciel et du matériel

Software and Hardware Datasheet / Fiche technique du logiciel et du matériel Software and Hardware Datasheet / Fiche technique du logiciel et du matériel 1 System requirements Windows Windows 98, ME, 2000, XP, Vista 32/64, Seven 1 Ghz CPU 512 MB RAM 150 MB free disk space 1 CD

Plus en détail

ASR1 TD7 : Un microprocesseur RISC 16 bits

ASR1 TD7 : Un microprocesseur RISC 16 bits {Â Ö Ñ º ØÖ Ý,È ØÖ ºÄÓ Ù,Æ ÓÐ ºÎ ÝÖ Ø¹ ÖÚ ÐÐÓÒ} Ò ¹ÐÝÓÒº Ö ØØÔ»»Ô Ö Óº Ò ¹ÐÝÓÒº Ö» Ö Ñ º ØÖ Ý»¼ Ö½» ASR1 TD7 : Un microprocesseur RISC 16 bits 13, 20 et 27 novembre 2006 Présentation générale On choisit

Plus en détail

3615 SELFIE. http://graffitiresearchlab.fr HOW-TO / GUIDE D'UTILISATION

3615 SELFIE. http://graffitiresearchlab.fr HOW-TO / GUIDE D'UTILISATION 3615 SELFIE http://graffitiresearchlab.fr HOW-TO / GUIDE D'UTILISATION Hardware : Minitel Computer DIN FM545 45 connector (http://www.gotronic.fr/art-fiche-din-fm545-4747.htm) Cable Arduino compatible

Plus en détail

ProCod. Manuel d utilisation. Software de programmation pour codeurs absolus TWK modèles CRF et DAF CRF 11069 DF 08 / 10

ProCod. Manuel d utilisation. Software de programmation pour codeurs absolus TWK modèles CRF et DAF CRF 11069 DF 08 / 10 Software de programmation pour codeurs absolus TWK modèles CRF et DAF CRF 11069 DF 08 / 10 Manuel d utilisation ProCod TWK France 13-15, avenue de Stalingrad 93170 BAGNOLET T. 01 43 62 00 05 F. 01 43 63

Plus en détail

TD : Codage des images

TD : Codage des images TD : Codage des images Les navigateurs Web (Netscape, IE, Mozilla ) prennent en charge les contenus textuels (au format HTML) ainsi que les images fixes (GIF, JPG, PNG) ou animée (GIF animée). Comment

Plus en détail

Guide Mémoire NETRAM

Guide Mémoire NETRAM Guide Mémoire NETRAM Types de mémoires vives On distingue généralement deux grandes catégories de mémoires vives : Mémoires dynamiques (DRAM, Dynamic Random Access Module), peu coûteuses. Elles sont principalement

Plus en détail

Informatique Générale

Informatique Générale Informatique Générale Guillaume Hutzler Laboratoire IBISC (Informatique Biologie Intégrative et Systèmes Complexes) guillaume.hutzler@ibisc.univ-evry.fr Cours Dokeos 625 http://www.ens.univ-evry.fr/modx/dokeos.html

Plus en détail

Procédure appropriée pour éditer les diagrammes avec ECM Titanium

Procédure appropriée pour éditer les diagrammes avec ECM Titanium Procédure appropriée pour éditer les diagrammes avec ECM Titanium Introduction: Dans ce document vous trouverez toutes les renseignements dont vous avez besoin pour éditer les diagrammes avec le logiciel

Plus en détail

Monitor LRD. Table des matières

Monitor LRD. Table des matières Folio :1/6 Table des matières 1.Installation du logiciel... 3 2.Utilisation du logiciel... 3 2.1.Description de la fenêtre de commande... 3 2.1.1.Réglage des paramètres de communication... 4 2.1.2.Boutons

Plus en détail

PRESENTATION RESSOURCES. Christian Dupaty BTS Systèmes Numériques Lycée Fourcade Gardanne Académie d Aix Marseille

PRESENTATION RESSOURCES. Christian Dupaty BTS Systèmes Numériques Lycée Fourcade Gardanne Académie d Aix Marseille PRESENTATION RESSOURCES Christian Dupaty BTS Systèmes Numériques Lycée Fourcade Gardanne Académie d Aix Marseille 1) Introduction, Objectifs et Intentions Le BTS SN (Systèmes Numériques) intègre la formation

Plus en détail

On distingue deux grandes catégories de mémoires : mémoire centrale (appelée également mémoire interne)

On distingue deux grandes catégories de mémoires : mémoire centrale (appelée également mémoire interne) Mémoire - espace destiné a recevoir, conserver et restituer des informations à traiter - tout composant électronique capable de stocker temporairement des données On distingue deux grandes catégories de

Plus en détail

Projet Robot Centaure

Projet Robot Centaure Projet Robot Centaure Année 2013-2014 IMA4 S8 Étudiants : Clément TACHÉ Liying WANG Enseignants : Robert Litwak Xiavier Redon Table des matières : Remerciements Introduction Présentation et état des lieux

Plus en détail

Fiche technique CPU 314SC/DPM (314-6CG13)

Fiche technique CPU 314SC/DPM (314-6CG13) Fiche technique CPU 314SC/DPM (3146CG13) Données techniques N de commande 3146CG13 Type CPU 314SC/DPM Information générale Note Caractéristiques SPEEDBus Technologie SPEED7 24 x DI, 16 x DO, 8 x DIO, 4

Plus en détail

UP 588/13 5WG1 588-2AB13

UP 588/13 5WG1 588-2AB13 Informations Technique Description du produit et de ses fonctionnalités Dans le menu «Réglage» vous avez le choix entre 4 styles d affichage. Les accessoires suivants sont nécessaires: è è è 5WG1 588 8AB14

Plus en détail

Enregistreur sans papier. Interface LON. B 95.5010.2.1 Description des interfaces 10.99/00370156

Enregistreur sans papier. Interface LON. B 95.5010.2.1 Description des interfaces 10.99/00370156 Enregistreur sans papier Interface LON B 95.5010.2.1 Description des interfaces 10.99/00370156 Sommaire 1 Introduction 3 1.1 Préambule... 3 1.2 Conventions typographiques... 4 1.2.1 Avertissement... 4

Plus en détail

CONFIGURATION DE L AUTOMATE SIEMENS

CONFIGURATION DE L AUTOMATE SIEMENS CONFIGURATION DE L AUTOMATE SIEMENS Créer un projet Dans le bureau de Windows, double-cliquer sur l icône «SIMATIC Manager» : Cliquer ensuite sur l icône «nouveau» : Choisir un nom de projet et valider

Plus en détail

Transmission de données. A) Principaux éléments intervenant dans la transmission

Transmission de données. A) Principaux éléments intervenant dans la transmission Page 1 / 7 A) Principaux éléments intervenant dans la transmission A.1 Equipement voisins Ordinateur ou terminal Ordinateur ou terminal Canal de transmission ETTD ETTD ETTD : Equipement Terminal de Traitement

Plus en détail

Fête de la science Initiation au traitement des images

Fête de la science Initiation au traitement des images Fête de la science Initiation au traitement des images Détection automatique de plaques minéralogiques à partir d'un téléphone portable et atelier propose de créer un programme informatique pour un téléphone

Plus en détail

domovea tebis La maison sous contrôle

domovea tebis La maison sous contrôle domovea tebis La maison sous contrôle domovea tebis La fusion entre l ordinateur Avec le logiciel domovea, toutes les fonctionnalités peuvent être commandées à partir de n importe quel ordinateur de la

Plus en détail

MUNIA Manuel de l'utilisateur

MUNIA Manuel de l'utilisateur MUNIA Manuel de l'utilisateur by Daisoft www.daisoft.it 2 Table des matières I II 2.1 2.2 2.3 2.4 2.5 2.6 III 3.1 3.2 3.3 IV 4.1 4.2 V 5.1 5.2 5.3 Introduction... 3 Les... échéances 6... 7 Ajouter... des

Plus en détail

INTRODUCTION A L ELECTRONIQUE NUMERIQUE ECHANTILLONNAGE ET QUANTIFICATION I. ARCHITECTURE DE L ELECRONIQUE NUMERIQUE

INTRODUCTION A L ELECTRONIQUE NUMERIQUE ECHANTILLONNAGE ET QUANTIFICATION I. ARCHITECTURE DE L ELECRONIQUE NUMERIQUE INTRODUCTION A L ELECTRONIQUE NUMERIQUE ECHANTILLONNAGE ET QUANTIFICATION I. ARCHITECTURE DE L ELECRONIQUE NUMERIQUE Le schéma synoptique ci-dessous décrit les différentes étapes du traitement numérique

Plus en détail

SERVEUR DÉDIÉ DOCUMENTATION

SERVEUR DÉDIÉ DOCUMENTATION SERVEUR DÉDIÉ DOCUMENTATION Release 5.0.6.0 19 Juillet 2013 Copyright 2013 GIANTS Software GmbH, All Rights Reserved. 1/9 CHANGE LOG Correction de bug divers (5.0.6.0) Ajout d une option de relance automatique

Plus en détail

THEME 1 : L ORDINATEUR ET SON ENVIRONNEMENT. Objectifs

THEME 1 : L ORDINATEUR ET SON ENVIRONNEMENT. Objectifs Architecture Matérielle des Systèmes Informatiques. S1 BTS Informatique de Gestion 1 ère année THEME 1 : L ORDINATEUR ET SON ENVIRONNEMENT Dossier 1 L environnement informatique. Objectifs Enumérer et

Plus en détail

REALISATION D UNE CALCULATRICE GRACE AU LOGICIEL CROCODILE CLIPS 3.

REALISATION D UNE CALCULATRICE GRACE AU LOGICIEL CROCODILE CLIPS 3. 1 sur 6 REALISATION D UNE CALCULATRICE GRACE AU LOGICIEL CROCODILE CLIPS 3. OBJECTIF - PUBLIC - LOGICIEL - MATERIEL - METHODE - BIBLIOGRAPHIE - AVANTAGES - DIFFICULTES - AUTEUR DU DOCUMENT - LE DOCUMENT

Plus en détail

2. Couche physique (Couche 1 OSI et TCP/IP)

2. Couche physique (Couche 1 OSI et TCP/IP) 2. Couche physique (Couche 1 OSI et TCP/IP) 2.1 Introduction 2.2 Signal 2.3 Support de transmission 2.4 Adaptation du signal aux supports de transmission 2.5 Accès WAN 2.1 Introduction Introduction Rôle

Plus en détail

LOGICIEL DC4D MONITOR

LOGICIEL DC4D MONITOR THQtronic LOGICIEL DC4D MONITOR La communication entre PC et DC4D ne peut se faire qu à l aide du câble de liaison USB-TTL de FTDI référence TTL-232R-5V-AJ vendu en option. DC4D Monitor est la même application

Plus en détail

CAA/SE N O T I C E D E M O N T A G E E T D U T I L I S A T I O N KIT DE MONTAGE. Clavier lumineux - 100 codes DIGICODE

CAA/SE N O T I C E D E M O N T A G E E T D U T I L I S A T I O N KIT DE MONTAGE. Clavier lumineux - 100 codes DIGICODE CAA/SE Clavier lumineux - 100 codes N O T I C E D E M O N T A G E E T D U T I L I S A T I O N L affichage lumineux par les leds rouge, jaune et verte est toujours opérationnel. Il est possible d avoir

Plus en détail

Les techniques de multiplexage

Les techniques de multiplexage Les techniques de multiplexage 1 Le multiplexage et démultiplexage En effet, à partir du moment où plusieurs utilisateurs se partagent un seul support de transmission, il est nécessaire de définir le principe

Plus en détail

Les portes logiques. Voici les symboles des trois fonctions de base. Portes AND. Portes OR. Porte NOT

Les portes logiques. Voici les symboles des trois fonctions de base. Portes AND. Portes OR. Porte NOT Les portes logiques Nous avons jusqu ici utilisé des boutons poussoirs et une lampe pour illustrer le fonctionnement des opérateurs logiques. En électronique digitale, les opérations logiques sont effectuées

Plus en détail

Licence Sciences et Technologies Examen janvier 2010

Licence Sciences et Technologies Examen janvier 2010 Université de Provence Introduction à l Informatique Licence Sciences et Technologies Examen janvier 2010 Année 2009-10 Aucun document n est autorisé Les exercices peuvent être traités dans le désordre.

Plus en détail

Bien commencer avec un LaunchPad MSP430G et un Breadboard

Bien commencer avec un LaunchPad MSP430G et un Breadboard Bien commencer avec un LaunchPad MSP430G et un Breadboard Pierre-Yves Rochat Le LaunchPad et Energia Texas Instrument propose un environnement de développement très complet pour ses MSP430, appelé Code

Plus en détail