TP N 1 Guide pratique d initiation a XILINX ISE 9.2i

Dimension: px
Commencer à balayer dès la page:

Download "TP N 1 Guide pratique d initiation a XILINX ISE 9.2i"

Transcription

1 LAII51 ISET DE GABES LAII 5 ENSEIGNANT : M. TAYARI LASSAAD CLASSE : LAII51 TP N 1 Guide pratique d initiation a XILINX ISE 9.2i 1- Introduction 1.1 Survol ISE Foundation 9.2 est un environnement intégré de développement de systèmes numériques ayant pour but une implémentation matérielle sur FPGA de la compagnie Xilinx. Les designs peuvent être décrits sous trois formes principales : schémas; langage de description matérielle (HDL) comme VHDL et Verilog; et, diagrammes d états. ISE intègre donc différents outils permettant de passer à travers tout le flot de conception d un système numérique : un éditeur de textes, de schémas et de diagrammes d état; un compilateur VHDL/Verilog; un outil de simulation; des outils pour la gestion des contraintes temporelles; des outils pour la synthèse; des outils pour la vérification; et, des outils pour l implantation sur FPGA. 1.2 Objectif de ce guide L objectif de ce guide est d énumérer et de décrire les étapes simples pour : créer un projet dans ISE 9.2; décrire un circuit numérique à l aide d un schéma ou à l aide d une description VHDL et l intégrer au projet; simuler le circuit numérique en lui appliquant des signaux d entrée désirés et en observant sa sortie; synthétiser et implémenter le circuit pour un FPGA; et, programmer le FPGA de la planchette de développement FPGA, pour vérifier l opération correcte du circuit. 2- Lancement de ISE 9.2 et création d un projet Dans l environnement ISE, un projet est un regroupement de fichiers en rapport avec un système ou un design en particulier. Par exemple, dans le cadre d un cours, on pourrait avoir un projet par laboratoire ou par devoir. 1. Lancer ISE 9.2 en cliquant deux fois sur l icône sur le bureau de travail ou en choisissant Start Programs XilinxISE 9.2i Project Navigator. M TAYARI.L Page 1 /32 TP N 1

2 LAII51 2. Choisir File New Project 3. Donner un nom pertinent à votre nom de projet. 4. Si vous désirez faire un design à base d un schéma, choisir Schematic comme Top-Level Source Type. 5. Si vous désirez faire un design à base d un code HDL, choisir HDL comme Top_Level Source Type. 6. Ensuite cliquer sur Next M TAYARI.L Page 2 /32 TP N 1

3 LAII51 7. Vérifier que les paramètres sont identiques à la figure suivante. Family permet de choisir la famille de FPGA, et Device permet de sélectionner le sous-type (nombre de portes logiques). Package définit le type de boitier : dans le cas présent, nous utilisons un FPGA Spartan 3 XC3S200 à 256 pattes. Appuyer sur Next. 8. Appuyer sur Next deux autres fois pour les deux autres fenêtres et sur Finnish. 3 Description d un circuit numérique 3.1 Circuit en exemple Dans ces instructions, on construit spécifiquement un circuit arithmétique de base : un additionneur à 3 bits. Ce circuit accepte 3 bits en entrée et les additionne. Il a deux sorties : une retenue ainsi qu une somme. Les sorties possibles sont donc (retenue, sortie) {(0, 0), (0, 1), (1, 0), (1, 1)}, correspondant respectivement aux cas où les trois bits d entrée sont 0, un seul bit est 1, deux bits sont 1, et trois bits sont 1. Ce circuit indique effectivement le nombre de bits d entrée qui valent 1. Le tableau de vérité de ce circuit est donné ici : On peut dériver les équations pour les sorties retenue et somme grâce à un tableau de Karnaugh, et, à l aide de manipulations algébriques, obtenir une version plus efficace pour l implémentation matérielle. Un schéma d un circuit réalisant ces deux fonctions est donné ici : M TAYARI.L Page 3 /32 TP N 1

4 LAII51 Le circuit est composé des entités suivantes : deux portes OU-exclusif à deux entrées; deux portes ET à deux entrées; une porte OU à deux entrées; et, trois terminaux d entrée et deux terminaux de sortie. 3.2 Les fichiers sources Dans l environnement ISE, un fichier source renferme des données descriptives ou de configuration d un système ou un design en particulier. Par exemple, un projet pourrait comporter un fichier décrivant le circuit numérique par un schéma, et un fichier indiquant la correspondance entre les ports du circuit et les pattes du FPGA. 3.3 Description avec un schéma 1. Sélectionner le menu Project new Source 2. Sélectionner schematic comme source et entrer le nom du schéma que vous allez créer. 3. Vérifier que l option Add to project est cochée. 4. Cliquer sur sur Next. M TAYARI.L Page 4 /32 TP N 1

5 LAII51 5. Cliquez sur Finish. 6. La première fois qu on ajoute un fichier source au projet, on obtient une fenêtre semblable à la figure cicontre. 7. Cliquer sur l onglet sources si nécessaire (partie gauche et en haut de l écran), puis dans la fenêtre sources cliquer deux fois sur votre fichier pour ouvrir l éditeur de schémas. M TAYARI.L Page 5 /32 TP N 1

6 LAII51 8. Pour ajouter des composantes cliquer sur le symbole : ou dans la barre d outils Add Symbol 9. Sélectionner Logic dans l onglet Categories et and2 dans l onglet Symbols. Cliquer par la suite sur l espace de travail pour placer la porte sélectionnée. 10. Répéter l étape 8 pour les portes xor2 et or2 correspondant respectivement à un «OU EXCLUSIF» à deux entrées et à un «OU» à deux entrées. 11. Relier les portes à l aide d un fil en cliquant sur le symbole (ou avec le menu Add Wire). Placer ensuite les ports d entrée et de sortie à l aide du symbole (ou avec le menu Add I/OMarquers). 12. Cliquer sur bouton de droite de la souris et renommer les Entrées/Sorties (bit0, bit1, bit2 / Somme, Retenue) avec l option Rename Port. Il est à noter que le choix de la direction des ports (en entrée ou en sortie) s effectue automatiquement. M TAYARI.L Page 6 /32 TP N 1

7 LAII Vous devriez obtenir le schéma final suivant. 14. Pour finir, vérifier votre schéma avec le bouton de la barre d outil de dessin ou en sélectionnant Tools Check Schematic du menu. Vérifier les messages dans la console au bas de l écran. 15. S il y a des erreurs corrigez-les et recommencer la vérification 4 Simulation du design La simulation du design permet de vérifier qu il fonctionne de la façon prévue par les spécifications. La simulation à faire à cette étape est la simulation comportementale. Pour simuler le design il faut créer un banc d essai contenant les stimuli d entrée. 1. Sélectionner le fichier à simuler (Schema_additionneur). 2. Créer un nouveau banc d essai en sélectionnant Project New Source. 3. Dans la fenêtre qui s ouvre, sélectionner Test Bench WaveForm comme source, et donner un nom au fichier comme ci-contre : 4. Cliquer sur Next. M TAYARI.L Page 7 /32 TP N 1

8 LAII51 5. La page suivante montre quel fichier source est associé au banc d essai; il s agit de notre fichier à simuler. 6. Cliquer Next, puis Finish. 7. Une fenêtre permettant d effectuer une initialisation temporelle (détermination des paramètres de l horloge et de certaines contraintes temporelles) apparaît. Vu que nous travaillons en combinatoire, il faut cocher l option combinatorial (or internal clock) dans le cadreclock information. 8. Modifier ensuite la durée de la simulation : mettez-là à 1500 ns (initial Length of TestBench). Cliquer sur Finish pour terminer cette initialisation temporelle. 9. Avec le bouton droit de droite, cliquer sur le début de la courbe (juste à côté de la zone grise) correspondant au signal bit0; et sélectionner set value. 10. Dans la fenêtre qui s ouvre choisir pattern wizard. M TAYARI.L Page 8 /32 TP N 1

9 LAII Modifier les valeurs de pulse width et initial delay à une valeur 4 cycles. 12. Cliquer sur Ok 13. Répéter les mêmes étapes pour bit1 et bit2, avec comme valeurs 2 et 1, respectivement. 14. Sauvegarder le banc d essai avec File >Save, qui devrait ressembler au schéma ci-contre. 15. Dans la fenêtre sources, sélectionner beha-vioral simulation. 16. Assurez-vous que le banc d essai est bien sélectionné dans la fenêtre sources. 17. Dans la fenêtre processes, dérouler l outil xilinx ise simulator. 18. Double-cliquer sur Simulate Behavioral Model. 19. Corriger votre design si vous obtenez des erreurs. Vous ne devriez quitter la simulation que lorsque celle-ci donne des résultats conformes aux spécifications. M TAYARI.L Page 9 /32 TP N 1

10 5 SYNTHESE ET IMPLEMENTATION DU DESIGN 5.1 Description La synthèse d un circuit consiste à traduire la description du circuit en blocs disponibles dans la technologie utilisée. Par exemple, pour un circuit décrit avec un schéma et qui doit être réalisé sur un FPGA,le processus de synthèse convertit et regroupe les portes logiques du schéma en composantes réalisables sur le FPGA choisi. L implémentation du circuit est divisée en quatre sous étapes: la transformation (mapping) : regrouper les composantes obtenues lors de la synthèse dans des blocs spécifiques du FPGA; la disposition (placement) : choisir des endroits spécifiques sur le FPGA où disposer les blocs utilisés, et choisir les pattes du FPGA correspondant aux ports d entrée et de sortie; le routage (routing) : établir des connexions électriques entre les blocs utilisés; et, la configuration (configuration) : convertir toute cette information en un fichier pouvant être téléchargé sur le FPGA pour le programmer. 5.2 Ports d entrée et de sortie Pendant l étape de disposition de l implémentation, il faut assigner des pattes spécifiques du FPGA à des ports d entrée et de sortie de son design. Pour le design présent, les ports d entrée sont bit0, bit1 et bit2, et les ports des sortie sont retenue et somme. L assignation des pattes que nous ferons ici s applique uniquement à la planchette de développement Spartan-3 de Digilent. L assignation des ports se fait par l entremise d un fichier de contraintes avec l extension «.ucf» (pour user constraints file). 1. Dans la fenêtre sources, sélectionner Synthesis/Implementation 2. Dans la fenêtre Processes, dérouler le menu User Constraints et double-cliquer sur Assign Package Pins. 3. Dans la fenêtre suivante cliquer sur Yes pour créer automatiquement le fichier d assignation (.ucf) des ports du FPGA. M TAYARI.L Page 10 /32 TP N 1

11 4. Une fenêtre d assignation de pattes va s ouvrir. Entrer, dans la section Loc du menu Design Object List I/O Pins, les numéros des pattes reliant le FPGA à votre design (f12 pour bit0, g12 pour bit1, h14 pour bit2, p14 pour retenue, k12 pour somme). Cela a pour effet de connecter les entrées aux commutateurs SW0, SW1, et SW2, et les sorties aux diodes émettrice de lumière LD1 et LD0 de la planchette. 5. Sauver les allocations effectuées en sélectionnant File_Save. Sélectionner XST Default:<> pour le type de sauvegarde. Cliquer sur Ok. 6. Fermer la fenêtre d assignation des pattes. 7. Dans la fenêtre Sources sélectionner (additionneur.sch) si vous effectuez un design basé sur un schéma ou le fichier VHDL (additionneur-vhdl.vhdl) s il est basé sur un fichier VHDL. 8. Dans la fenêtre Processes double cliquer sur Generate Programming File. Attendre quelques minutes et vous devriez obtenir le schéma suivant indiquant que les étapes de synthèse ont bien été effectuées. 6 Programmation du FPGA et tests 1. Informer votre enseignant que vous êtes prêt à utiliser la planchette pour qu on vous indique comment effectuer les connexions. M TAYARI.L Page 11 /32 TP N 1

12 2. Double-cliquer sur Configure Device (IMPACT). Cliquer sur Cancel si vous obtenez le message d avertissement suivant. 3. Sélectionner Configure Devices using Boundary-Scan (JTAG). 4. Cliquer sur Finish. 5. Sélectionner le fichier de programmation créé (additionneur.bit pour un design basé sur un schéma ou VHDL-additionneur.bit pour un design basé sur un fichier VHDL). 6. Cliquer sur Ok si un message d avertissement apparaît 7. Une autre fenêtre s ouvre permettant de programmer la mémoire flash de la planchette. Cliquer sur Bypass. (Il faut remarquer que ce n est pas le même type de fichier qui permet de programmer les deux). 8. Faites un clic droit sur xc3s200 et sélectionner Program. M TAYARI.L Page 12 /32 TP N 1

13 9. Cliquer sur Ok dans la fenêtre Programming Properties. 10. Vous devrez obtenir le schéma suivant indiquant que la programmation du FPGA s est bien déroulée. Recommencer l étape précédente si ce n est pas le cas. 11. Vous pouvez maintenant tester votre design sur le FPGA! Vérifier toutes les combinaisons possibles d entrées et vérifier que les sorties sont bien conformes au tableau de vérité. 6- DESCRIPTION AVEC UN FICHIER VHDL 1. Refaire les etapes de la section 2- et choisir le «top-level source type» HDL au lieu de shematic. 2. Sélectionner le menu Project new Source. 3. Sélectionner VHDL Module comme source et entrer le nom du schéma que vous allez créer. 4. Vérifier que l option add to project est cochée. 5. Cliquer sur Next M TAYARI.L Page 13 /32 TP N 1

14 6. Déclarer les ports d entrée/sortie du design comme définis ci-contre. 7. Cliquer sur Next et ensuite sur Finish. Une ébauche du fichier apparaît avec la description de l entité et de l architecture. 8. Dans la description de l architecture, en dessous de l énoncé begin, insérer les fonctions permettant de calculer la somme et la retenue, tel que montré ci-contre. Une fois le fichier VHDL édité, il est conseillé de vérifier la syntaxe du design afin de trouver des erreurs de syntaxe, de typographie : 1. Vérifier que synthesis/implementation est sélectionné dans la liste déroulante de la fenêtre sources. 2. Sélectionner le fichier VHDL Compteur-VHDL pour afficher les processus liés dans la fenêtre processes. 3. Cliquer sur le «+» à coté de synthesize-xst. 4. Double-cliquer sur le processus check syntax. Si tout va bien, un crochet vert apparaît. Sinon, consulter les messages d erreur dans la console au bas de l écran. 5. Corriger les erreurs s il y a lieu, puis fermer le fichier VHDL. 6. Refaire le étapes des sections 4- et 5- M TAYARI.L Page 14 /32 TP N 1

15 GE 3 èmme Année LEAII51 ENSEIGNANT : M. TAYARI LASSAAD T P N 2 TP 2 conceptions de systèmes numériques Initiation à VHDL avec ISE de Xilinx (V9.2i) I. Objectif L objectif de ce module de TP est, au travers de la réalisation de différents petits projets, d apprendre à utiliser les outils de la suite logicielle ISE design sofware de la société Xilinx pour configurer des composants logiques programmables à partir d une description en VHDL. Pré Requis : connaître les fonctions logiques de base. II. Déroulement La conduite d un projet simple comporte les étapes suivantes : 1. Description du projet avec le module ISE qui comporte différents modes d entrée tels que : Texte VHDL ou Verilog HDL Schéma bloc Machine d états 2. Simulation fonctionnelle avec le module ModelSim Cette étape permet d entrer des stimuli, de simuler le comportement des éléments du projet et de visualiser les résultats sous forme de chronogrammes ou de listes d états. 3. Synthèse L outil de synthèse XST (Xilinx Synthesis Technology) crée, à partir des fichiers texte VHDL, un fichier du type «netlist» de très bas niveau qui décrit les fonctions à implémenter dans le composant. 4. Implémentation dans un CPLD (XCRP) ou FPGA (sapartaniii) Elle comporte deux étapes : Traduction (Translation) du modèle logique du composant en une autre forme qui tient compte de l architecture du composant, et vérification de la validité des contraintes imposées par l utilisateur (temps de propagation, brochage). Adaptation du modèle précédant (Fitting) aux ressources du composant en tenant compte des contraintes. 5. Simulation post-implémentation Elle consiste à simuler le fonctionnement du composant en tenant compte du chemin suivi par les signaux et donc des temps de propagation (simulateur ModelSim). 6. Programmation du composant Elle commence par la création d un fichier de programmation au format standard JEDEC puis la configuration du composant sur l application cible avec le logiciel impact 7. Test électrique du projet Nous utilisons comme application cible une carte d évaluation Digilab XCRP ou Spartan III de la société Digilent. Cette carte est équipée d un circuit CPLD CoolRunner XCR3064PC44 ou FPGA XC3S200. M TAYARI.L Page 15 /32 TP N 1

16 III. Projets proposés Le tableau de la figure 1 donne la liste des projets proposés. Exemple n Fichier.vhd Mode Type Instructions d instructions 1 Basic_gates combinatoire concourantes équations logiques 2 Comparateur combinatoire concourantes when-else 3 Hex27seg combinatoire concourantes when-else 4 Bcd27seg combinatoire concourantes with-select 5 Dec3v8 combinatoire process case 6 Demux1v8 combinatoire process case 7 Com_mot_2v séquentiel process machine d état sorties combinatoire 8 Com_mot_2s séquentiel process machine d état sorties synchronisées Figure 1 Compte rendu Les résultats obtenus à chaque étape de chaque projet seront analysés et consignés dans un compte rendu sur l ensemble des projets. Pour chaque projet il sera présenté : - La fonction du composant réalisé - Une analyse du fichier source expliquant les nouvelles instructions utilisées - Les tests proposés pour la simulation en justifiant leur choix. - Les résultats de la simulation et leur interprétation. - Les ressources utilisées après implémentation dans le composant - Les équations logiques synthétisées - Les résultats de tests effectués avec la carte d évaluation M TAYARI.L Page 16 /32 TP N 1

17 -- Exemple 1 Fonctions logiques de base (équations booléennes) -- mode concourant -- Le système est purement combinatoire -- Il utilise le symbole d'affectation <= -- La mise jour est effective à la dernière instruction -- fichier Basic_gates.vhd library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- le standard IEEE 1164 définit des signaux std_logic à 9 niveaux : -- 0, 1, Z(haute impédance), - (indifférent), etc -- Description externe du système entity Basic_Gates is Port ( a : in std_logic; b : in std_logic; y1 : out std_logic; y2 : out std_logic; y3 : out std_logic; y4 : out std_logic; y5 : out std_logic; y6 : out std_logic; y7 : out std_logic); end Basic_Gates; -- SW1 -- SW2 -- LED1 -- LED2 -- LED3 -- LED4 -- LED5 -- LED6 -- LED7 -- Description du fonctionnement interne architecture Behavioral of Basic_Gates is begin -- liste d'instructions concourantes y1 <= a and b; y2 <= a or b; y3 <= a xor b; y4 <= not a; y5 <= a nand b; y6 <= a nor b; y7 <= not(a xor b); -- mise a jour des sorties end Behavioral; M TAYARI.L Page 17 /32 TP N 2

18 -- Exemple 2 : comparateur 4 bits -- Fichier Comparateur.vhd -- mode concourant -- utilise l''assignation conditionnelle WHEN... ELSE library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Description externe du système entity Comparateur is Port ( A : in std_logic_vector(3 downto 0); -- SW1..SW4 B : in std_logic_vector(3 downto 0); -- SW5..SW8 SUP : out std_logic; -- LED3 INF : out std_logic; -- LED1 EGAL : out std_logic); -- LED2 end Comparateur; -- Description du fonctionnement interne architecture Behavioral of Comparateur is begin SUP <= '1' WHEN A>B ELSE '0'; INF <= '1' WHEN A<B ELSE '0'; EGAL <='1' WHEN A=B ELSE '0'; end Behavioral; M TAYARI.L Page 18 /32 TP N 2

19 -- Exemple 3 : décodeur hexadecimal 7 segments -- fichier Hex2seg.vhd -- mode concourant -- utilise un signal interne library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity HEX27SEG is Port ( i : in std_logic_vector(3 downto 0); -- SW1,SW2,SW3,SW4 a : out std_logic; -- AA b : out std_logic; -- AB c : out std_logic; -- AC d : out std_logic; -- AD e : out std_logic; -- AE f : out std_logic; -- AF g : out std_logic; -- AG cat1 : out std_logic; -- CAT1 cat2 : out std_logic); -- CAT2 end HEX27SEG; architecture Behavioral of HEX27SEG is SIGNAL seg :std_logic_vector(0 to 6); BEGIN seg <= " " when i=0 ELSE " " when i=1 ELSE " " when i=2 ELSE " " when i=3 ELSE " " when i=4 ELSE " " when i=5 ELSE " " when i=6 ELSE " " when i=7 ELSE " " when i=8 ELSE " " when i=9 ELSE " " when i=10 ELSE " " when i=11 ELSE " " when i=12 ELSE " " when i=13 ELSE " " when i=14 ELSE " " when i=15; a <= seg(0); b <= seg(1); c <= seg(2); d <= seg(3); e <= seg(4); f <= seg(5); g <= seg(6); cat1 <= '0'; cat2 <= '1'; end Behavioral; M TAYARI.L Page 19 /32 TP N 2

20 -- exemple 4 :décodeur BCD 7 segments -- fichier BCD27SEG.vhd -- mode concourant -- utilise l'assignation conditionnelle WITH SELECT <= WHEN library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity BCD27SEG is Port ( i : in std_logic_vector(3 downto 0); -- SW1,SW2,SW3,SW4 a : out std_logic; -- AA b : out std_logic; -- AB c : out std_logic; -- AC d : out std_logic; -- AD e : out std_logic; -- AE f : out std_logic; -- AF g : out std_logic; -- AG cat1 : out std_logic; -- CAT1 cat2 : out std_logic); -- CAT2 end BCD27SEG; architecture Behavioral of BCD27SEG is SIGNAL seg :std_logic_vector(0 to 6); BEGIN WITH i SELECT seg <=" " WHEN "0000", " " WHEN "0001", " " WHEN "0010", " " WHEN "0011", " " WHEN "0100", " " WHEN "0101", " " WHEN "0110", " " WHEN "0111", " " WHEN "1000", " " WHEN "1001", " " WHEN OTHERS ; a <= seg(0); b <= seg(1); c <= seg(2); d <= seg(3); e <= seg(4); f <= seg(5); g <= seg(6); cat1 <= '0'; cat2 <= '1'; end Behavioral; M TAYARI.L Page 20 /32 TP N 2

21 -- Exemple 5 : décodeur octal -- fichier Decodeur_octal.vhd -- mode combinatoire -- utilise l'assignation conditionnelle IF THEN ELSE dans un process library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Decodeur_Octal is Port ( E : in std_logic_vector(2 downto 0); -- SW1,SW2,SW3 S0 : out std_logic; S1 : out std_logic; S2 : out std_logic; S3 : out std_logic; S4 : out std_logic; S5 : out std_logic; S6 : out std_logic; S7 : out std_logic); end Decodeur_Octal; -- LED1 -- LED2 -- LED3 -- LED4 -- LED5 -- LED6 -- LED7 -- LED8 architecture Behavioral of Decodeur_Octal is BEGIN PROCESS(E) BEGIN IF E="0000" THEN S0 <='1'; ELSE S0 <='0';END IF; IF E="0001" THEN S1 <='1'; ELSE S1 <='0';END IF; IF E="0010" THEN S2 <='1'; ELSE S2 <='0';END IF; IF E="0011" THEN S3 <='1'; ELSE S3 <='0';END IF; IF E="0100" THEN S4 <='1'; ELSE S4 <='0';END IF; IF E="0101" THEN S5 <='1'; ELSE S5 <='0';END IF; IF E="0110" THEN S6 <='1'; ELSE S6 <='0';END IF; IF E="0111" THEN S7 <='1'; ELSE S7 <='0';END IF; END PROCESS; end Behavioral; -- Exemple 6 : Décodeur 3 vers 8 -- fichier Dec3V8.vhd -- mode combinatoire -- utilise l'assignation conditionnelle CASE dans un process library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Decodeur3V8 is Port ( SEL : in std_logic_vector(2 downto 0);-- SW1,SW2,SW3 S : out std_logic_vector(7 downto 0));-- LEDs 1 a 8 end Decodeur3V8; architecture Behavioral of Decodeur3V8 is begin PROCESS(SEL )BEGIN M TAYARI.L Page 21 /32 TP N 2

22 CASE SEL IS WHEN "000" => S <= " "; WHEN "001" => S <= " "; WHEN "010" => S <= " "; WHEN "011" => S <= " "; WHEN "100" => S <= " "; WHEN "101" => S <= " "; WHEN "110" => S <= " "; WHEN OTHERS =>S <= " "; END CASE; END PROCESS; end Behavioral; -- Exemple 7 : Démultiplexeur 1 vers 8 -- fichier demux1v8 -- mode combinatoire -- utilise l'assignation conditionnelle CASE dans un process -- utilise le mot clé OTHERS library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Demux1V8 is Port ( E : in std_logic; -- BTN1 SEL : in std_logic_vector(2 downto 0); -- SW1,SW2,SW3 S : out std_logic_vector(7 downto 0)); -- LEDS 1 à 8 end Demux1V8; architecture Behavioral of Demux1V8 is BEGIN PROCESS(SEL,E) BEGIN CASE SEL IS WHEN "000" => S <= (0=>E,OTHERS=>'0'); WHEN "001" => S <= (1=>E,OTHERS=>'0'); WHEN "010" => S <= (2=>E,OTHERS=>'0'); WHEN "011" => S <= (3=>E,OTHERS=>'0'); WHEN "100" => S <= (4=>E,OTHERS=>'0'); WHEN "101" => S <= (5=>E,OTHERS=>'0'); WHEN "110" => S <= (6=>E,OTHERS=>'0'); WHEN OTHERS => S <=(7=>E,OTHERS=>'0'); END CASE; END PROCESS; end Behavioral; M TAYARI.L Page 22 /32 TP N 2

23 -- Exemple 8 :Commande d'un moteur 2 vitesses -- Machine d'état à sortie combinatoire -- la grande vitesse passe obligatoirement par la petite vitesse -- fichier com_mot_2_v.vhd library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Com_Mot_2V is Port ( Clk : in std_logic; Rst : in std_logic; Ma_PV : in std_logic; MA_GV : in std_logic; At : in std_logic; K_PV : out std_logic; K_GV : out std_logic); end Com_Mot_2V; -- MCLK -- BTN1 -- BTN2 -- BTN3 -- BTN4 -- LED1 -- LED2 architecture Behavioral of Com_Mot_2V is type type_etat is (arret, p_vitesse,g_vitesse); signal etat: type_etat; begin process (clk, rst) begin if rst='1' then etat <= arret; elsif (clk'event and clk='1') then case etat is when arret => if Ma_PV='1' then etat <= p_vitesse; end if; when p_vitesse => if At = '1' then etat <= arret; elsif Ma_GV ='1'then etat <= g_vitesse; end if; when g_vitesse => if At='1' then etat <= arret; elsif Ma_PV='1'then etat <= p_vitesse; end if; end case; end if; end process; -- assignation des sorties K_PV <='1'when etat=p_vitesse else '0'; K_GV <='1'when etat=g_vitesse else '0'; end Behavioral; M TAYARI.L Page 23 /32 TP N 2

24 Exemple 9 : Commande d un moteur à 2 sens de rotation Description comportementale par une machine d états Le fichier VHDL est obtenu par compilation du diagramme EX_14 Machine d états Ex_14 -- C:\JOB\XILINX_JOB\EXEMPLE_14\EX_14.vhd -- VHDL code created by Xilinx's StateCAD Sun Oct 17 10:53: This VHDL code (for use with Xilinx XST) was generated using: -- enumerated state assignment with structured code format. -- Minimization is enabled, implied else is enabled, -- and outputs are area optimized. LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY EX_14 IS PORT (CLK,at,mar,mav,rst: IN std_logic; ar,av : OUT std_logic); END; --MCLK,BTN2,BTN1,BTN3,BTN4 ARCHITECTURE BEHAVIOR OF EX_14 IS TYPE type_sreg IS (Arret,Arriere,Avant); SIGNAL sreg, next_sreg : type_sreg; BEGIN PROCESS (CLK, rst) BEGIN IF ( rst='1' ) THEN sreg <= Arret; ELSIF CLK='1' AND CLK'event THEN sreg <= next_sreg; END IF; END PROCESS; PROCESS (sreg,at,mar,mav) M TAYARI.L Page 24 /32 TP N 2

25 BEGIN ar <= '0'; av <= '0'; next_sreg<=arret; CASE sreg IS WHEN Arret => av<='0'; ar<='0'; IF ( mav='0' AND mar='0' ) OR ( mar='1' AND mav='1' THEN next_sreg<=arret; END IF; IF ( mav='1' AND mar='0' ) THEN next_sreg<=avant; END IF; IF ( mar='1' AND mav='0' ) THEN next_sreg<=arriere; END IF; WHEN Arriere => av<='0'; ar<='1'; IF ( at='1' ) THEN next_sreg<=arret; ELSE next_sreg<=arriere; END IF; WHEN Avant => av<='1'; ar<='0'; IF ( at='1' ) THEN next_sreg<=arret; ELSE next_sreg<=avant; END IF; WHEN OTHERS => END CASE; END PROCESS; END BEHAVIOR M TAYARI.L Page 25 /32 TP N 2

26 GE 3 èmme Année LEAII51 ENSEIGNANT : M. TAYARI LASSAAD TP 3 T P N 3 conceptions de systèmes numériques Création de composants personnalisés avec ISE de Xilinx (V9.2i) (Création d une série d additionneurs complets 2bits, 3bits, 4 bits, 8 bits et 16 bits) I. Objectif L objectif de ce module de TP est d apprendre à utiliser les outils de la suite logicielle ISE design sofware de la société Xilinx pour configurer des circuits logiques programmables à partir d une description mixte schématique et VHDL dont le but de définir de nouveaux composants personnelles. Pré Requis : Connaître les fonctions logiques de base. Initiation de base sur ISE. (TP1 et TP2) II. Déroulement 2- Lancement de ISE 9.2 et création d un nouveau composant Dans l environnement ISE, un projet est un regroupement de fichiers en rapport avec un système ou un design en particulier. Par exemple, dans le cadre d un cours, on pourrait avoir un projet par laboratoire ou par devoir. 1. Lancer ISE 9.2 en cliquant deux fois sur l icône sur le bureau de travail ou en choisissant Start Programs XilinxISE 9.2i Project Navigator. 2. Choisir File New Project 3. Donner un nom pertinent à votre nom de projet. M TAYARI.L Page 26 /32 TP N 2

27 4. choisir Schematic comme Top-Level Source Type. 5. Ensuite cliquer sur Next 6. Vérifier que les paramètres sont identiques à la figure suivante. Family permet de choisir la famille de FPGA, et Device permet de sélectionner le sous-type (nombre de portes logiques). Package définit le type de boitier : dans le cas présent, nous utilisons un FPGA Spartan 3 XC3S200 à 256 pattes. Appuyer sur Next. 7. Sélectionner le menu Project new Source 8. Sélectionner schematic comme source et entrer le nom du schéma que vous allez créer. 9. Vérifier que l option Add to project est cochée. M TAYARI.L Page 27 /32 TP N 2

28 10. Cliquer sur sur Next. Cliquez sur Finish. 11. Cliquer sur l onglet sources si nécessaire (partie gauche et en haut de l écran), puis dans la fenêtre sources cliquer deux fois sur votre fichier pour ouvrir l éditeur de schémas. 12. Vous devriez obtenir le schéma final suivant. 13. Selectionner «Tools Symbol Wizard». 14. Cliquer sur sur Next. 15. Definir le nom du composant ainsi que ses bords( nom :addition1bit ;a,b,r0 :input ;s,r1 :output) 16. Cliquer sur sur Next. M TAYARI.L Page 28 /32 TP N 2

29 16. Cliquer sur sur Next. 17. Cliquez sur Finish. M TAYARI.L Page 29 /32 TP N 2

30 18- Dans la fenêtre source onglet «Catgories» sélectionner «addition», puis dans «symbols» sélectionner le composant «addition1bit» 19. Pointer la sourie sur le composant «addition1bit», en suite avec le bouton droite sélectionner «symbol push into symbol» 20. Cliquez sur yes. M TAYARI.L Page 30 /32 TP N 2

31 21. sélectionner vhdl le fichier addition1bit.vhd sera générer 22.Dans la zone architecture, donner les équations de l additionneur : 23. Vérifier que synthesis/implementation est sélectionné dans la liste déroulante de la fenêtre sources. 24. Sélectionner le fichier VHDL addition1bit pour afficher les processus liés dans la fenêtre processes. 25. Cliquer sur le «+» à coté de synthesize-xst. 26. Double-cliquer sur le processus check syntax. Si tout va bien, un crochet vert apparaît. Sinon, consulter les messages d erreur dans la console au bas de l écran. 27. Corriger les erreurs s il y a lieu, puis fermer le fichier VHDL. 28. Sélectionner le fichier addition.sch (schéma) pour ajouter les marques d entrée/soritie (I/O marker). 29. vérifier le montage par une simulation. 30. télécharger le montage dans le circuit et vérifier son fonctionnement. M TAYARI.L Page 31 /32 TP N 2

32 3- création d un additionneur 2bits : En utilisant l additionneur 1bit créé précédemment, un nouveau composant qui permet une addition sur 2bits. Tester le. 4- création d un additionneur 3bits : En utilisant l additionneur 1bit et l additionneur 2 bits créés précédemment, un nouveau composant qui permet une addition sur 3bits. Tester le. 5- création d un additionneur 4bits : En utilisant l additionneur 2bits créé précédemment, un nouveau composant qui permet une addition sur 4bits. Tester le. 6- création d un additionneur 8bits : En utilisant l additionneur 4bits créé précédemment, un nouveau composant qui permet une addition sur 8bits. Tester le. 7- création d un additionneur 16bits : En utilisant l additionneur 8bits créé précédemment, un nouveau composant qui permet une addition sur 16bits. Tester le. M TAYARI.L Page 32 /32 TP N 2

SIN-FPGA DESCRIPTION PAR SCHEMA

SIN-FPGA DESCRIPTION PAR SCHEMA SIN-FPGA DESCRIPTION PAR SCHEMA Documents ressources: http://www.altera.com/literature/lit-index.html Introduction to Quartus II : intro_to_quartus2.pdf Documentation QUARTUS II : quartusii_handbook.pdf

Plus en détail

Manipulations du laboratoire

Manipulations du laboratoire Manipulations du laboratoire 1 Matériel Les manipulations de ce laboratoire sont réalisées sur une carte électronique comprenant un compteur 4-bit asynchrone (74LS93) avec possibilité de déclenchement

Plus en détail

Le langage VHDL. Eduardo Sanchez EPFL

Le langage VHDL. Eduardo Sanchez EPFL Le langage VHDL Eduardo Sanchez EPFL Livres conseillés: John F. Wakerly Digital design (4th edition) Prentice Hall, 2005 Peter J. Ashenden The designer's guide to VHDL (3rd edition) Morgan Kaufmann, 2008

Plus en détail

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS SOMMAIRE I. Le VHDL pour qui, pourquoi, quand, comment? A. Le VHDL!...... 1 B. Pourquoi un langage de description?...... 1 C. Les limites actuelles...... 2

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2008-2009 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques Pentium4 Présentation du simulateur VHDL sous environnement Cadence Présentation de l outil Synopsys

Plus en détail

Le langage C. Séance n 4

Le langage C. Séance n 4 Université Paris-Sud 11 Institut de Formation des Ingénieurs Remise à niveau INFORMATIQUE Année 2007-2008 Travaux pratiques d informatique Le langage C Séance n 4 But : Vous devez maîtriser à la fin de

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2011-2012 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques WIDEMACV1 LAAS-CNRS 2011 Présentation du simulateur VHDL sous environnement Cadence Présentation

Plus en détail

IFT1215 Introduction aux systèmes informatiques

IFT1215 Introduction aux systèmes informatiques Introduction aux circuits logiques de base IFT25 Architecture en couches Niveau 5 Niveau 4 Niveau 3 Niveau 2 Niveau Niveau Couche des langages d application Traduction (compilateur) Couche du langage d

Plus en détail

Logiciel PICAXE Programming Editor

Logiciel PICAXE Programming Editor Logiciel PICAXE Programming Editor Notice réduite 1. Lancer le logiciel PICAXE Programming Editor (Vidéo : «Lancer le programme»). 2. Dessiner un diagramme (Vidéos : «Nouveau programme» et «Dessiner le

Plus en détail

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits Architecture des ordinateurs TD1 - Portes logiques et premiers circuits 1 Rappel : un peu de logique Exercice 1.1 Remplir la table de vérité suivante : a b a + b ab a + b ab a b 0 0 0 1 1 0 1 1 Exercice

Plus en détail

CSI351 Systèmes d exploitation Instructions pour rouler Linux avec Virtual PC dans la salle de labo 2052

CSI351 Systèmes d exploitation Instructions pour rouler Linux avec Virtual PC dans la salle de labo 2052 CSI351 Systèmes d exploitation Instructions pour rouler Linux avec Virtual PC dans la salle de labo 2052 Une machine virtuelle Linux, appelé SiteDev (version 9 de Redhat) peut être roulé avec Virtual PC

Plus en détail

Securexam Consignes pour l EFU Les 2, 3 et 4 juin 2015

Securexam Consignes pour l EFU Les 2, 3 et 4 juin 2015 Securexam Consignes pour l EFU Les 2, 3 et 4 juin 2015 ATTENTION : Consignes aux candidats qui doivent encrypter leur clé USB : Une fois votre ordinateur démarré, avant de lancer Securexam (CA), procédez

Plus en détail

Les portes logiques. Voici les symboles des trois fonctions de base. Portes AND. Portes OR. Porte NOT

Les portes logiques. Voici les symboles des trois fonctions de base. Portes AND. Portes OR. Porte NOT Les portes logiques Nous avons jusqu ici utilisé des boutons poussoirs et une lampe pour illustrer le fonctionnement des opérateurs logiques. En électronique digitale, les opérations logiques sont effectuées

Plus en détail

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE ARDUINO DOSSIER RESSOURCE POUR LA CLASSE Sommaire 1. Présentation 2. Exemple d apprentissage 3. Lexique de termes anglais 4. Reconnaître les composants 5. Rendre Arduino autonome 6. Les signaux d entrée

Plus en détail

Guide d installation logicielle

Guide d installation logicielle Guide d installation logicielle Drivers USB pour Windows XP/2000 X-Edit Editor/Librarian pour Windows XP/2000 Nous tenons à vous remercier d avoir choisi ce processeur DigiTech USB. Ce guide d installation

Plus en détail

Importation de fichiers Eagle

Importation de fichiers Eagle Importation de fichiers Eagle 2 Mention de réserve sur les droits d'auteur Les droits d auteur rattachés à tout ou partie des présents logiciel et manuel appartiennent à RS Components et ne peuvent être

Plus en détail

Contrôleur de communications réseau. Guide de configuration rapide DN1657-0606

Contrôleur de communications réseau. Guide de configuration rapide DN1657-0606 K T - N C C Contrôleur de communications réseau Guide de configuration rapide DN1657-0606 Objectif de ce document Ce Guide de configuration rapide s adresse aux installateurs qui sont déjà familiers avec

Plus en détail

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview.

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. Sciences et Technologies de l Industrie et du Développement Durable Formation des enseignants parcours : ET24 Modèle de

Plus en détail

Utiliser Dev-C++ .1Installation de Dev-C++ Table des matières

Utiliser Dev-C++ .1Installation de Dev-C++ Table des matières Utiliser Dev-C++ Voici quelques explications sur l utilisation de Dev-C++, un excellent environnement de développement en C et C++ pour Windows qu on peut télécharger librement depuis le site www.bloodshed.net

Plus en détail

Guide d installation de MySQL

Guide d installation de MySQL INF 1250 Introduction aux bases de données Guide d installation de MySQL 1 Introduction Ce guide vous indique la façon d installer et d utiliser le logiciel MySQL de Oracle (disponible en licence GPL).

Plus en détail

Système binaire. Algèbre booléenne

Système binaire. Algèbre booléenne Algèbre booléenne Système binaire Système digital qui emploie des signaux à deux valeurs uniques En général, les digits employés sont 0 et 1, qu'on appelle bits (binary digits) Avantages: on peut utiliser

Plus en détail

1) Installation de Dev-C++ Téléchargez le fichier devcpp4990setup.exe dans un répertoire de votre PC, puis double-cliquez dessus :

1) Installation de Dev-C++ Téléchargez le fichier devcpp4990setup.exe dans un répertoire de votre PC, puis double-cliquez dessus : 1) Installation de Dev-C++ Téléchargez le fichier devcpp4990setup.exe dans un répertoire de votre PC, puis double-cliquez dessus : La procédure d installation démarre. La fenêtre suivante vous indique

Plus en détail

Sélection du contrôleur

Sélection du contrôleur Démo CoDeSys - 1 - 1. Configuration de l environnement de travail : Lancer le logiciel CoDeSys Fichier Nouveau Lors de la première utilisation, une boîte de dialogue apparaît permettant la sélection du

Plus en détail

MANUEL D INSTALLATION DES PRE REQUIS TECHNIQUES SALLE DES MARCHES V.7

MANUEL D INSTALLATION DES PRE REQUIS TECHNIQUES SALLE DES MARCHES V.7 MANUEL D INSTALLATION DES PRE REQUIS TECHNIQUES SALLE DES MARCHES V.7 Netscape 7.2 / Windows XP - 1 - SOMMAIRE 1. INTRODUCTION... 3 2. Configuration Requise... 3 1.1 Configuration du poste de travail...

Plus en détail

Notice d Utilisation du logiciel Finite Element Method Magnetics version 3.4 auteur: David Meeker

Notice d Utilisation du logiciel Finite Element Method Magnetics version 3.4 auteur: David Meeker Notice d Utilisation du logiciel Finite Element Method Magnetics version 3.4 auteur: David Meeker DeCarvalho Adelino adelino.decarvalho@iutc.u-cergy.fr septembre 2005 Table des matières 1 Introduction

Plus en détail

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3. SIMULATION 7 " - 4.

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & '  ( ) '*+ , ##) #  -. /0  1 2  3. SIMULATION 7  - 4. TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3! " #$ % & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3' & 3. SIMULATION 7 0 ( 0, - 0 - " - & 1 4. LA SOURIS 11 5. LES RACCOURCIS CLAVIER 11 STI Electronique

Plus en détail

Installer Enterprise Miner 5.1 en SAS9.1.3 - environnement Windows

Installer Enterprise Miner 5.1 en SAS9.1.3 - environnement Windows Installer Enterprise Miner 5.1 en SAS9.1.3 - environnement Windows Introduction :... 3 1. Présentation de l architecture Enterprise Miner 5.1 :... 4 2. Installation d Enterprise Miner 5.1:... 5 3. Post-installation

Plus en détail

Archivage de courriels avec Outlook (2007-2010-2013)

Archivage de courriels avec Outlook (2007-2010-2013) Archivage de courriels avec Outlook (2007-2010-2013) Introduction: Si vous utilisez le protocole IMAP ou directement l interface web de Smartermail pour accéder à vos courriels, vous devez savoir que ceux-ci

Plus en détail

MEGA ITSM Accelerator. Guide de démarrage

MEGA ITSM Accelerator. Guide de démarrage MEGA ITSM Accelerator Guide de démarrage MEGA 2013 1ère édition (janvier 2013) Les informations contenues dans ce document pourront faire l objet de modifications sans préavis et ne sauraient en aucune

Plus en détail

Quelques outils pour le logiciel «Tux Paint»

Quelques outils pour le logiciel «Tux Paint» Quelques outils pour le logiciel «Tux Paint» Version Window's 0.9.21 Télécharger la version gratuite : http://www.tux Paint.org/download/ Pascale-Dominique Chaillez Lynda O Connell Conseillères pédagogiques

Plus en détail

ESPACE COLLABORATIF SHAREPOINT

ESPACE COLLABORATIF SHAREPOINT Conseil de l Europe Service des Technologies de l Information ESPACE COLLABORATIF SHAREPOINT DOSSIER D UTILISATEUR 1/33 Sommaire 1. Présentation de SharePoint... 3 1.1. Connexion... 4 2. Les listes...

Plus en détail

NOTICE D' UTILISATION CAMWORKS FRAISAGE. Luc Vallée Lycée Blaise Pascal Segré

NOTICE D' UTILISATION CAMWORKS FRAISAGE. Luc Vallée Lycée Blaise Pascal Segré NOTICE D' UTILISATION Luc Vallée Lycée Blaise Pascal Segré FRAISAGE SOMMAIRE allée Sciences et techniques Fiche n 1 - Généralités principe....page 3 Fiche n 2 - Lancer une application fraisage...page 7

Plus en détail

Espace pro. Installation des composants avec Firefox. Pour. Windows XP Vista en 32 et 64 bits Windows 7 en 32 et 64 bits

Espace pro. Installation des composants avec Firefox. Pour. Windows XP Vista en 32 et 64 bits Windows 7 en 32 et 64 bits Espace pro Installation des composants avec Firefox Pour Windows XP Vista en 32 et 64 bits Windows 7 en 32 et 64 bits Version 2.0.3 1 Sommaire 1. Installation du composant de lecture de la carte Vitale

Plus en détail

Boîtier disque dur SATA 3,5 pouces Fonction économie d énergie

Boîtier disque dur SATA 3,5 pouces Fonction économie d énergie Boîtier disque dur SATA 3,5 pouces Fonction économie d énergie PX-1106 Chère cliente, Cher client, Nous vous remercions pour l achat de ce boîtier de disque dur. Faites de votre disque dur une mémoire

Plus en détail

BIRT (Business Intelligence and Reporting Tools)

BIRT (Business Intelligence and Reporting Tools) BIRT (Business Intelligence and Reporting Tools) Introduction Cette publication a pour objectif de présenter l outil de reporting BIRT, dans le cadre de l unité de valeur «Data Warehouse et Outils Décisionnels»

Plus en détail

Eclipse atelier Java

Eclipse atelier Java Eclipse atelier Java Table des matières 1. Introduction...2 2. Télécharger eclipse...3 3. Installer eclipse...3 4. Premier lancement d eclipse...3 5. Configurer eclipse pour faire du Java...5 6. Développer

Plus en détail

TP Blender n 2 : Importation d un modèle SketchUp et animation

TP Blender n 2 : Importation d un modèle SketchUp et animation TP Blender n 2 : Importation d un modèle SketchUp et animation Service de Conception Géométrique Université de Liège Aérospatiale et Mécanique Conçu avec Blender 2.66 et SketchUp 8 De SketchUp à Blender

Plus en détail

Introduction à Eclipse

Introduction à Eclipse Introduction à Eclipse Eclipse IDE est un environnement de développement intégré libre (le terme Eclipse désigne également le projet correspondant, lancé par IBM) extensible, universel et polyvalent, permettant

Plus en détail

USTL - Licence ST-A 1ère année 2005-2006 Codage de l information TP 1 :

USTL - Licence ST-A 1ère année 2005-2006 Codage de l information TP 1 : USTL - Licence ST-A 1ère année 2005-2006 Codage de l information TP 1 : Objectifs du TP Ce TP a pour but 1. de découvrir quelques opérations logiques sur les nombres 2. et quelques formats de fichiers.

Plus en détail

Formation Word/Excel. Présentateur: Christian Desrochers Baccalauréat en informatique Clé Informatique, 15 février 2007

Formation Word/Excel. Présentateur: Christian Desrochers Baccalauréat en informatique Clé Informatique, 15 février 2007 Formation Word/Excel Présentateur: Christian Desrochers Baccalauréat en informatique Clé Informatique, 15 février 2007 1 Avant de débuter Qui suis-je? À qui s adresse cette présentation? Petit sondage

Plus en détail

Manuel d utilisation

Manuel d utilisation Manuel d utilisation Table des matières 1. Fonctionnalités 2. Caractéristiques 3. Pièces et accessoires 4. Vue rapide de l appareil 5. Installation d un disque dur SATA 6. Le logiciel de sauvegarde 6.1

Plus en détail

V 8.2. Vous allez utiliser les services en ligne de la plate forme de dématérialisation de la Salle des Marchés achatpublic.com.

V 8.2. Vous allez utiliser les services en ligne de la plate forme de dématérialisation de la Salle des Marchés achatpublic.com. MANUEL D UTILISATION DE LA SALLE DES MARCHES ACCES ENTREPRISES V 8.2 APPEL D OFFRES RESTREINT Vous allez utiliser les services en ligne de la plate forme de dématérialisation de la Salle des Marchés achatpublic.com.

Plus en détail

MANUEL D UTILISATION PRO-FACE

MANUEL D UTILISATION PRO-FACE MANUEL D UTILISATION PRO-FACE SOMMAIRE Chapitre 1 Procédure d utilisation 1. En créant un écran seul..... 2. En créant un écran plus un programme logique.. 1-1 1-2 Chapitre 2 Du début à la fin 1. Guide.....

Plus en détail

Gestion des références bibliographiques. Comment simplifier la gestion des références bibliographiques?

Gestion des références bibliographiques. Comment simplifier la gestion des références bibliographiques? Gestion des références bibliographiques Comment simplifier la gestion des références bibliographiques? Objectifs de la formation Créer votre base de données personnelle de références bibliographiques.

Plus en détail

Mini_guide_Isis.pdf le 23/09/2001 Page 1/14

Mini_guide_Isis.pdf le 23/09/2001 Page 1/14 1 Démarrer...2 1.1 L écran Isis...2 1.2 La boite à outils...2 1.2.1 Mode principal...3 1.2.2 Mode gadgets...3 1.2.3 Mode graphique...3 2 Quelques actions...4 2.1 Ouvrir un document existant...4 2.2 Sélectionner

Plus en détail

1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique...

1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique... 1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique... 4 2 Quelques actions... 5 2.1 Ouvrir un document existant...5 2.2

Plus en détail

Manuel BlueFolder ADMINISTRATION

Manuel BlueFolder ADMINISTRATION Version 1.0 Manuel BlueFolder administration Page - 1 - Manuel BlueFolder ADMINISTRATION Configuration Utilisation Version 1.0 Manuel BlueFolder administration Page - 2 - Manuel BlueFolder... 1 Description

Plus en détail

Guide d installation des licences Solid Edge-NB RB-18-09-2012

Guide d installation des licences Solid Edge-NB RB-18-09-2012 Ce document vous guide dans la gestion, l installation et la mise à jour des licences Solid Edge. Contenu Les types de licences...2 Le site GTAC : support.ugs.com...3 Création d un compte GTAC...3 Identifiant

Plus en détail

Guide de démarrage IKEY 2032 / Vigifoncia

Guide de démarrage IKEY 2032 / Vigifoncia Guide de démarrage IKEY 2032 / Vigifoncia Internet Explorer / Windows SOMMAIRE Pré-requis techniques Paramétrage avant installation de Windows Vista * Procédure d installation Paramétrage d'internet Explorer

Plus en détail

Note Technique. 1. Objectif. 2. Prérequis. 3. Installation

Note Technique. 1. Objectif. 2. Prérequis. 3. Installation 1. Objectif Cette note technique a pour objectif de vous aider à mettre en place un serveur FTP sous Microsoft Windows. Le serveur FTP utilisé au sein de ce document est FileZilla Server. 2. Prérequis

Plus en détail

PROCÉDURE D'INSTALLATION WINDOWS 7 (32 ou 64 bit)

PROCÉDURE D'INSTALLATION WINDOWS 7 (32 ou 64 bit) PROCÉDURE D'INSTALLATION WINDOWS 7 (32 ou 64 bit) DÉTERMINER VOTRE VERSION DE WINDOWS 7 1. Cliquez sur Démarrer puis sur Panneau de configuration 2. Cliquez sur Système et sécurité. 3. Sur la page Système

Plus en détail

Quelques outils pour le logiciel «Tuxpaint»

Quelques outils pour le logiciel «Tuxpaint» Quelques outils pour le logiciel «Tuxpaint» Version PC 0.9.20b- 2008-08-20 Télécharger la version gratuite : http://www.tuxpaint.org/download/ Pascale-Dominique Chaillez Lynda O Connell Conseillères pédagogiques

Plus en détail

Mes documents Sauvegardés

Mes documents Sauvegardés Mes documents Sauvegardés Guide d installation et Manuel d utilisation du logiciel Edition 13.12 Photos et illustrations : Copyright 2013 NordNet S.A. Tous droits réservés. Toutes les marques commerciales

Plus en détail

QUESTION 1 {2 points}

QUESTION 1 {2 points} ELE4301 Systèmes logiques II Page 1 de 8 QUESTION 1 {2 points} En se servant de paramètres électriques donnés dans le Tableau 1 ci-dessous, on désire déterminer la fréquence d opération du compteur présenté

Plus en détail

Votre premier projet Android

Votre premier projet Android 3 Votre premier projet Android Maintenant que le SDK Android est installé, il est temps de créer votre premier projet. La bonne nouvelle est qu il n exige aucune ligne de code les outils Android créent

Plus en détail

Manuel Utilisateur. Boticely

Manuel Utilisateur. Boticely Manuel Utilisateur Boticely Auteur : Logica Version : 1.4 Droit d auteur Ce texte est disponible sous contrat Creative Commons Paternité - Pas d'utilisation Commerciale - Partage des Conditions Initiales

Plus en détail

>> Lisez-moi d abord... Connecter le ZyXEL Prestige 650HW/HW-I

>> Lisez-moi d abord... Connecter le ZyXEL Prestige 650HW/HW-I >> Lisez-moi d abord... Connecter le ZyXEL Prestige 650HW/HW-I Étendue de la livraison * Mise en exploitation 1 Câble de raccordement Ethernet (patchcable) pour connexion à l ordinateur ou connexion au

Plus en détail

GUIDE DE PRISE EN MAIN ISIS PROTEUS V7

GUIDE DE PRISE EN MAIN ISIS PROTEUS V7 GUIDE DE PRISE EN MAIN ISIS PROTEUS V7 1. Lancement d'isis PROTEUS V7:...2 2. Configuration de l'application d'isis PROTEUS V7:...3 3. Présentation de l'interface d'isis PROTEUS V7:...4 a) Barre de menus:...4

Plus en détail

1 Modélisation d être mauvais payeur

1 Modélisation d être mauvais payeur 1 Modélisation d être mauvais payeur 1.1 Description Cet exercice est très largement inspiré d un document que M. Grégoire de Lassence de la société SAS m a transmis. Il est intitulé Guide de démarrage

Plus en détail

Initiation à LabView : Les exemples d applications :

Initiation à LabView : Les exemples d applications : Initiation à LabView : Les exemples d applications : c) Type de variables : Créer un programme : Exemple 1 : Calcul de c= 2(a+b)(a-3b) ou a, b et c seront des réels. «Exemple1» nom du programme : «Exemple

Plus en détail

SOMMAIRE. Présentation assistée sur ordinateur. Collège F.Rabelais 1/10

SOMMAIRE. Présentation assistée sur ordinateur. Collège F.Rabelais 1/10 /0 SOMMAIRE. Lancer le logiciel. Mettre un titre dans une diapositive et du texte. Ajouter une diapositive 4. Créer une diapositive avec une image 5. Créer une diapositive avec un graphique 6. Créer une

Plus en détail

Notice de fonctionnement DVR H264. + Méthode de Visionnage ESEENET

Notice de fonctionnement DVR H264. + Méthode de Visionnage ESEENET Notice de fonctionnement DVR H264 + Méthode de Visionnage ESEENET 1 Le point de départ de chacune des différentes configurations traitées ci-dessous sera l écran de visualisation des 4 ou 8 caméras. A

Plus en détail

WinTask x64 Le Planificateur de tâches sous Windows 7 64 bits, Windows 8/8.1 64 bits, Windows 2008 R2 et Windows 2012 64 bits

WinTask x64 Le Planificateur de tâches sous Windows 7 64 bits, Windows 8/8.1 64 bits, Windows 2008 R2 et Windows 2012 64 bits WinTask x64 Le Planificateur de tâches sous Windows 7 64 bits, Windows 8/8.1 64 bits, Windows 2008 R2 et Windows 2012 64 bits Manuel d initiation du Planificateur 2 INTRODUCTION 5 CHAPITRE I : INSTALLATION

Plus en détail

Mini_guide_Isis_v6.doc le 10/02/2005 Page 1/15

Mini_guide_Isis_v6.doc le 10/02/2005 Page 1/15 1 Démarrer... 2 1.1 L écran Isis... 2 1.2 Les barres d outils... 3 1.2.1 Les outils d édition... 3 1.2.2 Les outils de sélection de mode... 4 1.2.3 Les outils d orientation... 4 2 Quelques actions... 5

Plus en détail

Notice ARES Version 5.20 Française

Notice ARES Version 5.20 Française ARES -1/19 Notice ARES Version 5.20 Française Carlos Valente Technicien IUT LIMOGES Département Génie Electrique et informatique Industrielle 19100 Brive la gaillarde France. Page - 1/19 ARES -2/19 Routage

Plus en détail

1-Introduction 2. 2-Installation de JBPM 3. 2-JBPM en action.7

1-Introduction 2. 2-Installation de JBPM 3. 2-JBPM en action.7 Sommaire 1-Introduction 2 1-1- BPM (Business Process Management)..2 1-2 J-Boss JBPM 2 2-Installation de JBPM 3 2-1 Architecture de JOBSS JBPM 3 2-2 Installation du moteur JBoss JBPM et le serveur d application

Plus en détail

MICROINFORMATIQUE NOTE D APPLICATION 1 (REV. 2011) ARITHMETIQUE EN ASSEMBLEUR ET EN C

MICROINFORMATIQUE NOTE D APPLICATION 1 (REV. 2011) ARITHMETIQUE EN ASSEMBLEUR ET EN C Haute Ecole d Ingénierie et de Gestion Du Canton du Vaud MICROINFORMATIQUE NOTE D APPLICATION 1 (REV. 2011) ARITHMETIQUE EN ASSEMBLEUR ET EN C Programmation en mode simulation 1. DOCUMENTS DE RÉFÉRENCE...

Plus en détail

MEGA ITSM Accelerator. Guide de Démarrage

MEGA ITSM Accelerator. Guide de Démarrage MEGA ITSM Accelerator Guide de Démarrage MEGA 2009 SP4 1ère édition (juin 2010) Les informations contenues dans ce document pourront faire l objet de modifications sans préavis et ne sauraient en aucune

Plus en détail

Guide d utilisation et d administration

Guide d utilisation et d administration Guide d utilisation et d administration Guide d utilisation et d administration l Sommaire Logo Vtiger...1 Chapitre 1. Premières étapes...5 Devenir un utilisateur...5 Installation sur PC et autres conditions...5

Plus en détail

Notice d'utilisation Site Internet administrable à distance

Notice d'utilisation Site Internet administrable à distance Notice d'utilisation Site Internet administrable à distance 1. Entrer dans l'espace d'administration Pour entrer dans l'espace d'administration, cliquez sur le lien nommé «administration» ou «Connexion»

Plus en détail

Comment faire un Mailing A partir d une feuille Calc

Comment faire un Mailing A partir d une feuille Calc Comment faire un Mailing A partir d une feuille Calc Diffusé par Le Projet Documentation OpenOffice.org Table des matières 1. Création de la base de données...3 2. Création de la lettre type...4 3. Fusion...5

Plus en détail

Procédure de sauvegarde pour AB Magique

Procédure de sauvegarde pour AB Magique Procédure de sauvegarde pour AB Magique Importance de la copie de sauvegarde : Il est très important de saisir l utilité d une copie de sauvegarde de votre logiciel AB Magique. Si un problème informatique

Plus en détail

Introduction à la présentation graphique avec xmgrace

Introduction à la présentation graphique avec xmgrace Chapitre 6 Introduction à la présentation graphique avec xmgrace Contenu 6.1 Avant-propos....................... 71 6.2 Faire un simple graphe................. 72 6.3 Un graphe avec plusieurs courbes...........

Plus en détail

Installation de GFI MailSecurity en mode passerelle

Installation de GFI MailSecurity en mode passerelle Installation de GFI MailSecurity en mode passerelle Introduction à l installation en mode passerelle SMTP Ce chapitre traite de la procédure d installation et de configuration de GFI MailSecurity en mode

Plus en détail

Network Shutdown Module V3 Extension du Manuel Utilisateur pour architecture Virtualisée VMWare ESX Server

Network Shutdown Module V3 Extension du Manuel Utilisateur pour architecture Virtualisée VMWare ESX Server Network Shutdown Module V3 Extension du Manuel Utilisateur pour architecture Virtualisée VMWare ESX Server Machine virtuelle Machine virtuelle Machine virtuelle VMware ESX 3 Network Shutdown Module Network

Plus en détail

GUIDE UTILISATEUR SYSTEMES CCTV

GUIDE UTILISATEUR SYSTEMES CCTV GUIDE UTILISATEUR SYSTEMES CCTV 2SECURE 3 chemin des mules 13124 PEYPIN www.2secure.fr - 1 - SOMMAIRE : 1 ACCEDER / SORTIR D UN MENU :...3 2 VISUALISER UN ENREGISTREMENT SUR LE DVR :...3 3 SAUVEGARDER

Plus en détail

wxwidgets dans un environnement Microsoft Windows

wxwidgets dans un environnement Microsoft Windows 1/18 wxwidgets dans un environnement Microsoft Windows Ce document explique les démarches à suivre pour pouvoir utiliser «wxwidgets» à travers un environnement de développement. Normalement c est ce que

Plus en détail

Gestion des certificats en Internet Explorer

Gestion des certificats en Internet Explorer Gestion des certificats en Internet Explorer Comment exporter, sauvegarder/restaurer et supprimer vos clés et certificats avec Internet Explorer 6 ou plus récent Version 1.0 2007-07-13 Revision History

Plus en détail

Network Shutdown Module V3 Extension du Manuel Utilisateur pour architecture Virtualisée VMWare ESX Server 3, 3.5

Network Shutdown Module V3 Extension du Manuel Utilisateur pour architecture Virtualisée VMWare ESX Server 3, 3.5 Network Shutdown Module V3 Extension du Manuel Utilisateur pour architecture Virtualisée VMWare ESX Server 3, 3.5 Machine virtuelle Machine virtuelle Machine virtuelle VMware ESX Network Shutdown Module

Plus en détail

GUIDE DU NOUVEL UTILISATEUR

GUIDE DU NOUVEL UTILISATEUR GUIDE DU NOUVEL UTILISATEUR Le but de ce tutoriel est de vous guider à travers les fonctions de base de votre logiciel. Nous partirons du principe que votre terminal de pointage est déjà installé. A la

Plus en détail

GUIDE Excel (version débutante) Version 2013

GUIDE Excel (version débutante) Version 2013 Table des matières GUIDE Excel (version débutante) Version 2013 1. Créer un nouveau document Excel... 3 2. Modifier un document Excel... 3 3. La fenêtre Excel... 4 4. Les rubans... 4 5. Saisir du texte

Plus en détail

A L ERT. Pour démarrer rapidement avec

A L ERT. Pour démarrer rapidement avec A L ERT Pour démarrer rapidement avec I N STAL L ATION Pour lancer l installation de la Solution Micromedia, insérer le CD-ROM d installation dans le lecteur. Si le programme d installation ne démarre

Plus en détail

Comment faire des étiquettes

Comment faire des étiquettes Comment faire des étiquettes Révision 0.1 31/03/2004 Réalisé avec : OOo 1.1.0 Plate-forme / Os : Toutes n révision, mode d'emploi n révision : x.yz x : n de version majeure, par exemple 0 pour une phase

Plus en détail

Certificats «CREDIT LYONNAIS Authentys Entreprise» Manuel utilisateur du support cryptographique

Certificats «CREDIT LYONNAIS Authentys Entreprise» Manuel utilisateur du support cryptographique Certificats «CREDIT LYONNAIS Authentys Entreprise» Manuel utilisateur du support cryptographique Page 2 sur 21 1 INTRODUCTION Pour toute question complémentaire ou demande d information : pour les clients

Plus en détail

CONFIGURATION DE L AUTOMATE SIEMENS

CONFIGURATION DE L AUTOMATE SIEMENS CONFIGURATION DE L AUTOMATE SIEMENS Créer un projet Dans le bureau de Windows, double-cliquer sur l icône «SIMATIC Manager» : Cliquer ensuite sur l icône «nouveau» : Choisir un nom de projet et valider

Plus en détail

Excel 2007 Niveau 3 Page 1 www.admexcel.com

Excel 2007 Niveau 3 Page 1 www.admexcel.com Excel 2007 Niveau 3 Page 1 TABLE DES MATIERES UTILISATION DE LISTES DE DONNEES... 4 REMARQUES PREALABLES SUR LES LISTES DE DONNEES... 4 METTRE EN FORME LE TABLEAU... 6 METTRE LA LISTE A JOUR... 7 a/ Directement

Plus en détail

lundi 3 août 2009 Choose your language What is Document Connection for Mac? Communautés Numériques L informatique à la portée du Grand Public

lundi 3 août 2009 Choose your language What is Document Connection for Mac? Communautés Numériques L informatique à la portée du Grand Public Communautés Numériques L informatique à la portée du Grand Public Initiation et perfectionnement à l utilisation de la micro-informatique Microsoft Document Connection pour Mac. Microsoft Document Connection

Plus en détail

Comment Utiliser les Versions, les Modification, les Comparaisons, Dans les Documents

Comment Utiliser les Versions, les Modification, les Comparaisons, Dans les Documents Comment Utiliser les Versions, les Modification, les Comparaisons, Dans les Documents Diffusé par Le Projet Documentation OpenOffice.org Table des Matières 1. Les Versions...3 2. Les Modifications...5

Plus en détail

Gestion des documents avec ALFRESCO

Gestion des documents avec ALFRESCO Gestion des documents avec ALFRESCO 1 INTRODUCTION : 2 1.1 A quoi sert ALFRESCO? 2 1.2 Comment s en servir? 2 2 Créer d un site collaboratif 3 2.1 Créer le site 3 2.2 Inviter des membres 4 3 Accéder à

Plus en détail

SoMachine. Solution logicielle pour votre architecture Machine Atelier de découverte. SoMachine

SoMachine. Solution logicielle pour votre architecture Machine Atelier de découverte. SoMachine Solution logicielle pour votre architecture Machine Atelier de découverte SoMachine Objectif de la manipulation Le programme à réaliser va permettre de gérer le remplissage et la vidange d une cuve en

Plus en détail

1. CRÉER UNE LISTE DE CONTACTS

1. CRÉER UNE LISTE DE CONTACTS Page 2 1. CRÉER UNE LISTE DE CONTACTS Pour les besoins de votre première campagne, nous allons créer une liste de contacts qui contiendra deux noms, le vôtre (pour effectuer vos tests et visualiser votre

Plus en détail

Connecteur Zimbra pour Outlook 2007 et 2010 (ZCO) w

Connecteur Zimbra pour Outlook 2007 et 2010 (ZCO) w w Procédure Messagerie Outlook 2007 et 2010 Solutions informatiques Connecteur Zimbra pour Microsoft Outlook 2007 et 2010 (ZCO) 1/46 SOMMAIRE 1 Introduction... 3 2 Compatibilité... 3 2.1 Versions supportées

Plus en détail

SAGEM Wi-Fi 11g USB ADAPTER Guide de mise en route rapide pour Mac OS X

SAGEM Wi-Fi 11g USB ADAPTER Guide de mise en route rapide pour Mac OS X SAGEM Wi-Fi 11g USB ADAPTER Guide de mise en route rapide pour Mac OS X But de ce guide Ce guide décrit la méthode d'installation et de configuration de votre SAGEM Wi-Fi 11g USB ADAPTER pour réseau sans

Plus en détail

Manuel de l utilisateur. Soft-phone - Client VoIP 3CX Version 6.0

Manuel de l utilisateur. Soft-phone - Client VoIP 3CX Version 6.0 Manuel de l utilisateur Soft-phone - Client VoIP 3CX Version 6.0 Copyright 2006-2008, 3CX Ltd. http:// E-mail: info@3cx.com Les informations contenues dans ce document sont sujettes à modifications sans

Plus en détail

Travaux pratiques. DECOUVERTE PDMLink - PTC

Travaux pratiques. DECOUVERTE PDMLink - PTC Travaux pratiques DECOUVERTE PDMLink - PTC Introduction au PLM L historique du PLM : Gestion des fichiers CAO Gestion de tout type de document (avec versionnement, nominatif ) Gestion du cycle de vie des

Plus en détail

Installation et compilation de gnurbs sous Windows

Installation et compilation de gnurbs sous Windows Installation et compilation de gnurbs sous Windows Installation de l environnement de développement Code::Blocks (Environnement de développement) 1. Télécharger l installateur de Code::Blocks (version

Plus en détail

PO Box 80 86651 Monheim/Germany Phone: +49 9091 502-0 Fax: +49 9091 502-458 hama@hama.de http://www.hama.com

PO Box 80 86651 Monheim/Germany Phone: +49 9091 502-0 Fax: +49 9091 502-458 hama@hama.de http://www.hama.com PO Box 80 86651 Monheim/Germany Phone: +49 9091 502-0 Fax: +49 9091 502-458 hama@hama.de http://www.hama.com All listed brands are trademarks of the corresponding companies. Errors and omissions excepted,

Plus en détail

INF 1250 INTRODUCTION AUX BASES DE DONNÉES. Guide d étude

INF 1250 INTRODUCTION AUX BASES DE DONNÉES. Guide d étude INF 1250 INTRODUCTION AUX BASES DE DONNÉES Guide d étude Sous la direction de Olga Mariño Télé-université Montréal (Québec) 2011 INF 1250 Introduction aux bases de données 2 INTRODUCTION Le Guide d étude

Plus en détail

EXCEL TUTORIEL 2012/2013

EXCEL TUTORIEL 2012/2013 EXCEL TUTORIEL 2012/2013 Excel est un tableur, c est-à-dire un logiciel de gestion de tableaux. Il permet de réaliser des calculs avec des valeurs numériques, mais aussi avec des dates et des textes. Ainsi

Plus en détail