Développement d une bibliothèque de cellules logiques standard pour une technologie CMOS 0.5 µm

Dimension: px
Commencer à balayer dès la page:

Download "Développement d une bibliothèque de cellules logiques standard pour une technologie CMOS 0.5 µm"

Transcription

1 PROJET DE SEMESTRE, HIVER Développement d une bibliothèque de cellules logiques standard pour une technologie CMOS 0.5 µm Marc Kristol, Electricité sem.7 Superviseur : Alain Vachoux (LSM) EPFL MICROELECTRONIC SYSTEMS LABORATORY (LSM) ELD - ECUBLENS CH-1015 LAUSANNE PHONE : TELEFAX :

2 2 PROJET DE SEMESTRE Marc Kristol

3 PROJET DE SEMESTRE - Marc Kristol 3 TABLES DES MATIÈRES : 1. INTRODUCTION 1.1 Principe de base 1.2 Intervention dans le flot de conception 2. OBJECTIFS DU PROJET 2.1 Contenu d une bibliothèque 2.2 Flot de développement 2.3 Bibliothèque valide 3. CONTENU D UNE BIBLIOTHÈQUE 3.1 Vues Layout 3.2 Netlists 3.3 Librairie Synopsys de symboles 3.4 Librairie Synopsys 3.5 Modèles VHDL 3.6 Modèles verilog 3.7 Stubs verilog 3.8 Vues Cadence placement et routage 3.9 Timing Cadence placement et routage 4. FLOT DE DÉVELOPPEMENT 4.1 Condition de caractérisation 4.2 Caractérisation 4.3 Génération des modèles VHDL 4.4 Validation de la librairie Synopsys 4.5 Génération des stubs verilog 4.6 Vues et timing placement et routage 5. CARACTÉRISATION AVEC APTIVIA/DCM 5.1 Procédure d utilisation du logiciel 5.2 Choix des paramètres de caractérisation 5.3 Génération de la librairie Synopsys 6. LIBRARY COMPILER 6.1 Compilation de la librairie Synopsys 6.2 Génération des modèles VHDL

4 4 PROJET DE SEMESTRE Marc Kristol 7. VALIDATIONS 7.1 Validation de la caractérisation 7.2 Validation des cellules par testbenchs 7.3 Validation par synthèse 8. TRAVAILS FUTURS 9. RÉFÉRENCES 10. ANNEXES A. Datasheets B. Script de correction de la librairie Synopsys C. Librairie Synopsys D. Stubs E. Modèles VHDL des circuits de synthèse F. Scripts de synthèse pour Design Vision

5 PROJET DE SEMESTRE - Marc Kristol 5 LISTES DES FIGURES : Fig 1.1 : Exemple de circuit composé d alignements de cellules standards Fig 1.2 : Flot de conception basé sur VHDL Fig 3.1 : Exemple de symbole de cellule logique (NAND à 2 entrées) Fig 4.1 : Flot de développement de la bibliothèque BadBoy05 Fig 5.1 : Environnement de travail du logiciel Aptivia/DCM Fig 5.2 : Création d un nouveau projet Fig 5.3 : Génération du setup Fig 5.4 : Onglet Design du setup Fig 5.5 : Includes du setup Fig 5.6 : Onglet Function du setup Fig 5.7 : Onglet verilog-ams du setup Fig 5.8 : Onglet Synopsys du setup Fig 5.9 : Onglet Sweeps du setup Fig 5.10 : Onglet options du setup Fig 5.11 : Contenu du projet après génération des fichiers Fig 5.12 : Délai de la NAND2 en fonction de la pente d entrée pour une capacité de 0fF Fig 5.13 : Délai de la NAND2 avec six points de mesure Fig 5.14 : Problème de résolution numérique Fig 5.15 : Génération d une librairie unique Tableau 7.1 : Validation de la cellule NAND2_1 Tableau 7.2 : Validation de la cellule DFF_BASIC Fig 7.3 : Contrainte de surface minimum Fig 7.4 : sélection des pins de sortie Fig 7.5 : Contrainte sur les délais Fig 7.6 : Attribution d un signal d horloge Fig 7.7 : Fenêtre d optimisation Fig 7.8 : Optimisation de l ALU en surface avec le chemin critique Tableau 7.9 : Comparaisons des résultats de synthèse Tableau 7.10 : Résultats complets de la synthèse

6 6 PROJET DE SEMESTRE Marc Kristol 1. INTRODUCTION Les bibliothèques de cellules standards font parties des nombreuses techniques de conception de circuits intégrés au même titre que des méthodes telles que FPGA, Gate Array ou encore Full Custom. 1.1 Principe de base Le principe de base d une telle bibliothèque est de disposer d une série de petits circuits effectuant chacun une fonction logique basique. Chacun de ces circuits est dimensionné de façon à avoir des caractéristiques géométriques communes avec les autres circuits de la bibliothèque. En général ces caractéristiques communes sont la largeur et la position des lignes d alimentation. Cela permet de les assembler telle un lego en de longues lignes de cellules ayant la même ligne d alimentation lors de la conception d un système intégré. Fig 1.1 Exemple de circuit composé d alignements de cellules standards 1.2 Intervention dans le flot de conception Les bibliothèques de cellules standards interviennent à différents niveaux de conception d un circuit intégré. Par exemple dans un flot de conception basé sur VHDL, le premier niveau est lors de la synthèse VHDL où le modèle du circuit fait directement appelle à l architecture comportementale des cellules (fig 1.2). La bibliothèque est aussi utilisée lors de la simulation pour les mêmes raisons. Le dernier niveau d intervention est le niveau layout. Etant donné que les layouts des cellules sont disponibles dans la bibliothèque, ils sont alors utilisés pour faire le layout du circuit en les assemblant par lignes et ensuite en réalisant les interconnections entre cellules.

7 PROJET DE SEMESTRE - Marc Kristol 7 Fig 1.2 Flot de conception basé sur VHDL

8 8 PROJET DE SEMESTRE Marc Kristol 2. OBJECTIFS DU PROJET Ce projet ne démarre pas en partant de nulle part. Il s inscrit dans la continuité d un projet précédent effectué au Worcester Polytechnic Institute [1] dont l objectif était justement la création d une bibliothèque de cellules standard nommée BadBoy05. Il avait été développé en particulier les vues layout, les descriptions schématiques, les caractérisations des cellules ainsi que la librairie Synopsys (voir chapitre 3). L idée est d utiliser ces éléments pour continuer le développement. 2.1 Contenu d une bibliothèque Le premier objectif du projet est de comprendre les éléments constituant une bibliothèque de cellules logiques standard, en particulier de savoir quels sont les éléments essentiels et quelle est l utilité de chacun de ces éléments. 2.2 Flot de développement L objectif suivant est d expérimenter le flot de développement d une bibliothèque. C est-àdire étudier quelles sont les façons de générer les différents éléments constitutifs, quels sont les outils nécessaires à cela et quels sont les moyens de valider les résultats obtenus. 2.3 Bibliothèque valide Finalement le dernier objectif est évidemment d obtenir une bibliothèque qui puisse être utilisable pour le développement de projet de conception de systèmes logiques. C est dans ce but que sont repris les éléments du projet précédent. La première partie constituera à recaractériser les cellules de façon plus complète et de regénérer la librairie Synopsys à l aide d un logiciel adapté. Dans un second temps, il faudra alors valider la librairie obtenue en comparant les résultats obtenus avec les résultats précédents ainsi que d effectuer des cas tests de synthèse VHDL. La dernière partie sera de reprendre les layout des cellules afin de générer les vues pour le placement et routage et en extraire les délais. Puis valider ces vues avec des cas tests VHDL. [1] «Standard Cell Library Blocks Design and Evaluation» A Major Thesis of the Worcester Polytechnic Institute by Samuel R. Girgis and Carl F. Nielsen Jr. (April 2000)

9 PROJET DE SEMESTRE - Marc Kristol 9 3. CONTENU D UNE BIBLIOTHÈQUE Une bibliothèque comporte différents éléments qui interviennent à différents niveaux de la conception des circuits. L énumération de ces éléments se fait ci-dessous avec l explication de leur utilité. 3.1 Vues Layout Les vues layout proviennent de l ancien projet. Elles correspondent à la vue physique du circuit intégré des cellules et elles sont directement utilisées pour le développement des différents masques de fabrication. Ces vues sont utilisées dans la conception de la libraire pour générer les vues de placement et routage (Chapitre 3.8). Elles sont reconnaissables par l extension de fichier «.gds». 3.2 Netlists Les fichiers netlists proviennent également du projet précédent. Les netlists comportent la description du comportement des cellules. Elles peuvent être de deux types différents : schématique ou extraite. La netlist schématique est tirée de la vue schématique des transistors du circuit. Elle tient compte donc que des caractéristiques intrinsèques du transistor (délai, capacité des pins). La netlist extraite est tirée de la vue layout. Elle tient compte alors, en plus des caractéristiques du circuit, des effets parasites comme les capacités parasites. Elle est de ce fait plus proche de la réalité du circuit physique. L extension informatique des netlists est «.sp». 3.3 Librairie Synopsys de symboles La librairie des symboles contient la description graphique des symboles logiques des cellules de la bibliothèque. Elle est utilisée lors de la synthèse de circuit pour générer une vue schématique du circuit en portes logiques. La librairie utilisée pour ce projet a été construite à partir des symboles de la bibliothèque AVx. Son extension est «.slib», et «.sdb» pour la version binaire. Fig 3.1 Exemple de symbole de cellule logique (NAND à 2 entrées)

10 10 PROJET DE SEMESTRE Marc Kristol 3.4 Librairie Synopsys La librairie Synopsys contient toutes les informations issues de la caractérisation de chaque cellule. Elle est particulièrement importante dans le flot de développement car c est à partir d elle que quasiment tout le reste de la bibliothèque peut être généré. La librairie Synopsys possède l extension «.lib» ou «.db» dans sa version binaire. 3.5 Modèles VHDL Les fichiers VHDL contiennent les modèles comportementaux des cellules en langage VHDL. Ils sont générés à partir des informations contenues dans la librairie Synopsys. L extension VHDL est «.vhd». Pour ce projet, les modèles VHDL ont été générés avec l architecture VITAL. Cette architecture utilise trois fichiers : Le fichier VITAL.vhd contient les architectures comportementales des cellules, le fichier COMPONENTS.vhd contient la définition des composants, c est-à-dire des cellules ( définition des entrées, des sorties ) et le fichiers TABLES.vhd contient des tables avec les contraintes sur les délais des cellules. 3.6 Modèles verilog Les fichiers verilog contiennent la description comportementale des cellules dans le langage verilog. On les reconnaît par l extension «.v». 3.7 Stubs verilog Les stubs sont les définitions des entrées et sorties des cellules en langage verilog. On les trouve également dans les modèles verilog. L extension est la même que celles des modèles verilog. 3.8 Vues Cadence placement et routage Les vues pour le placement et routage sont en fait des vues layout abstraites. C est-à-dire que seule la position des pins de connexion (entrées, sorties, alimentation) sont visibles. De plus il peut être indiqué les zones de la cellule qui n acceptent pas le passage de lignes d interconnexion. Ces vues sont reconnaissables par l extension «.lef». 3.9 Timing Cadence placement et routage Les vues timing contiennent les temps de propagation des layout Elles se distinguent par l extension «.tlf» ou «.ctlf» pour les versions compilées.

11 PROJET DE SEMESTRE - Marc Kristol FLOT DE DÉVELOPPEMENT La première partie de la conception d une bibliothèque est de déterminer un flot de développement des différents éléments constituants. Celui-ci s impose relativement naturellement par le fait que certains éléments sont générés à partir d autres. Fig 4.1 Flot de développement de la bibliothèque BadBoy Conditions de caractérisation Comme cette bibliothèque a déjà été générée en partie lors du projet précédent, certaines conditions du flot sont déjà imposées. La bibliothèque est constituée de 39 cellules logiques : - And à 2,3 et 4 entrées (AND2_1, AND3_1, AND4_1) - Nand à 2,3 et 4 entrées (NAND2_1, NAND3_1, NAND4_1) - Or à 2,3 et 4 entrées (OR2_1, OR3_1, OR4_1) - Nor à 2,3 et 4 entrées (NOR2_1, NOR3_1, NOR4_1) - Xor à 2 entrées (XOR2_1) - Xnor à 2 entrées (XNOR2_1) - Inverseur (INV_1) - Inverseur avec un demi drive (INV_HALF)

12 12 PROJET DE SEMESTRE Marc Kristol - Buffer (BUF_1) - And-Or à 3 et 4 entrées (AO21, AO22) - And-Or-Inv à 3 et 4 entrées (AOI21, AOI22) - Or-And à 3 et 4 entrées (OA21, OA22) - Or-And-Inv à 3 et 4 entrées (OAI21, OAI22) - Multiplexeur à 2 et 4 entrées (MUX2_1, MUX4_1) - Flip-Flop D (DFF_BASIC) - Flip-Flop D avec reset (DFF_CLR) - Flip-Flop D avec set (DFF_PRE) - Flip-Flop D avec set et reset (DFF_CLR_PRE) - Latch D (DLATCH_BASIC) - Latch D avec reset (DLATCH_CLR) - Latch D avec set (DLATCH_PRE) - Latch D avec set et reset (DLATCH_CLR_PRE) - Buffer tri-state niveau haut (TRIBUF_1_H) - Buffer tri-state niveau bas (TRIBUF_1_L) - Inverseur tri-state niveau haut (TRINV_1_H) - Inverseur tri-state niveau bas (TRINV_1_L) La technologie transistor utilisée est celle du fabricant HP AMOS14TB en 0,5 µm avec une alimentation de 3,3 V. La caractérisation n avait été faite que dans un cas de conditions typiques (TYPICAL CASE : température 27 C, alimentation 3,3V). Elle a donc été aussi faite pour des conditions optimales (BEST CASE : température 0 C, alimentation 3,63 V) et des conditions mauvaises (WORST CASE : température 100 C, alimentation 2,97 V) en plus des conditions typiques. La caractérisation se fait à partir de deux autres paramètres importants en plus de la température et de la tension d alimentation: la pente du signal d entrée et la charge en sortie. Des valeurs entre 0,1 ns et 1 ns ont été prises pour la pente d entrée et des valeurs entre 0 et 500fF pour la charge en sortie ce qui devrait suffire pour pouvoir mettre un maximum de cinq portes sur une sortie. 4.2 Caractérisation La caractérisation des cellules est faite avec le logiciel Aptivia/DCM de Antrim Design System. Il utilise le modèle du transistor, les netlists ainsi que les conditions qui ont été choisies pour générer la librairie Synopsys et les modèles verilog. L utilisation du logiciel est décrite dans le chapitre 5. Les netlists utilisées ici sont de type schématique. La caractérisation consiste par simulation à déterminer la capacité de chacun des pins d entrées des cellules, le délai de propagation entre chaque pin d entrée et de sortie, le temps de transition du signal en sortie pour chaque trajet de propagation, la consommation lors des transitions et la consommation de la cellule au repos (dû aux courants de fuite) en fonction des paramètres cités ci-dessus.

13 PROJET DE SEMESTRE - Marc Kristol Génération des modèles VHDL Les modèles VHDL des cellules sont générés à partir de la librairie Synopsys et de la librairie de symboles par le logiciel Library Compiler de Synopsys. Ce logiciel permet aussi d obtenir les versions compilées de la librairie Synopsys et de la librairie de symboles ainsi que des testbenchs pour chaque cellule. La procédure d utilisation se trouve dans le chapitre Validation de la librairie Synopsys La première validation est de comparer les résultats de la caractérisation avec les anciens résultats, c est-à-dire de voir si les délais de propagation et les capacités d entrée des pins ont le même ordre de grandeur. Dans un second temps, après avoir compilé la librairie Synopsys, on effectue des testes de synthèse VHDL utilisant la bibliothèque. Ces testes sont effectués sur un additionneur un bit (ONEBIT), sur un additionneur 8 bits (ADDER8), sur une ALU et sur un circuit nommé ISP. Dans le but de pouvoir de nouveau comparer les résultats avec ceux du précédent projet, on utilise les codes VHDL de ces circuits réalisés lors dudit projet. Cette synthèse est réalisée à l aide du logiciel Design Vision de Synopsys. Finalement, à l aide des testbenchs des cellules générés par Library Compiler, une validation du comportement des cellules est également effectuée. Le détail de toute la validation se trouve dans le chapitre Génération des stubs verilog Les modules stubs sont obtenus à partir des modèles verilog. Les modèles verilog sont composés de deux parties. La première correspond à la liste des pins de la cellule, la seconde à l architecture comportementale. Les stubs correspondent à la première partie du modèle. Il suffit donc de prendre les stubs de toutes les cellules et de les mettre dans un seul fichier. Il est possible de faire un script qui extrait les modules et crée le fichier stubs. Cependant le fichier stubs a été créé ici manuellement par copier-coller. 4.6 Vues et timing placement et routage Les vues placement et routage sont générées à partir du layout des cellules à l aide du logiciel Autoabgen de Cadence. Les vues timing placement et routage sont générées avec le logiciel Tlfgen de Cadence à partir de la librairie Synopsys. Malheureusement, le manque de temps et des problèmes de lecture des layout par Autoabgen n auront pas permis d effectuer cette partie du projet jusqu au bout. Evidemment, les méthodes de validation des vues et timing placement et routage n ont également pas pu être explorées.

14 14 PROJET DE SEMESTRE Marc Kristol 5. CARACTÉRISATION AVEC APTIVIA/DCM La procédure de caractérisation est presque la même pour toutes les cellules. C est pourquoi le déroulement est expliqué ici par un exemple, en l occurrence celui de la cellule NAND à 2 entrées. 5.1 Procédure d utilisation du logiciel La première chose à faire est de créer un nouvel espace de travail (workspace). Le logiciel ouvre automatiquement une fenêtre où il faut choisir entre un workspace récent ou en créer un nouveau. Fig 5.1 Environnement de travail du logiciel Aptivia/DCM Ensuite, il faut créer un projet. Chaque projet correspond à une cellule. Le plus directe pour créer un nouveau projet est de faire un clique-droit sur le workspace et de sélectionner «create new project». Une boite de dialogue s ouvre. Elle propose de baser le projet sur un projet existant ou un exemple ce qu il faut refuser. Puis il faut entrer la position du répertoire de travail du projet ainsi que le nom que l on donne au projet (en l occurrence le nom de la cellule). On peut également donner une description, mais cela n est pas forcément nécessaire.

15 PROJET DE SEMESTRE - Marc Kristol 15 Fig 5.2 Création d un nouveau projet Une fois cela de fait, le logiciel crée une série de répertoires vides visibles dans l environnement de travail. - Documents : contient les informations liées au projet - Tests : contient les procédures de tests de timing et de capacité - Sweeps/corners : contient les procédures pour les sweeps - Spec sheets : contient les éléments pour la vérification verilog (pas utilisé ici) - Model calibrations : contient les éléments de calibration pour verilog-ams (pas utilisé ici) - Model generators : contient le setup de génération du projet - Optimizations : contient les éléments d optimisation (pas utilisé ici) - Plans : contient les scripts permettant de lancer la caractérisation Il faut donc maintenant générer tous les fichiers liés à une cellule et entrer les conditions de caractérisation. Toute la procédure se fait avec un setup. Pour cela il faut faire un cliquedroit sur le dossier «Model generators» et sélectionner «New model setup». Dans le générateur de setup qui apparaît, il faut entrer un nom et indiquer que les tests sont basés sur la netlist. Fig 5.3 Génération du setup

16 16 PROJET DE SEMESTRE Marc Kristol Après avoir fait «OK», le setup s ouvre. Dans celui-ci, il faut compléter tous les onglets présents. Dans premier onglet «Design» (Fig 5.3), on doit indiquer le lien vers la netlist de la cellule. Normalement une fois celui-ci entrée, le logiciel détecte automatiquement le nom et les pins de la cellule. Fig 5.4 Onglet Design du setup En cliquant sur «Create base test», une nouvelle fenêtre s ouvre qui contient quatre zones de saisi et en particulier une zone «SPICE includes» où il faut saisir le lien vers le modèle du transistor. Il est même possible en allant dans «Templates» de faire la même chose en créant un «Base Test» qui sera alors repris par tous les autres projets créés par la suite.

17 PROJET DE SEMESTRE - Marc Kristol 17 Fig 5.5 Includes du setup L onglet suivant «Function» permet de définir la fonction logique de la cellule ainsi que la définition des pins. Normalement le logiciel a déjà trouvé les correspondances, mais il est bon de vérifier. Pour le pin d alimentation, il faut indiquer la tension d alimentation. Fig 5.6 Onglet Function du setup

18 18 PROJET DE SEMESTRE Marc Kristol Dans l onglet «Create», il faut sélectionner les modèles à générer. Il y a quatre choix : - Characterization - Verilog-AMS model - Verilog-D model - Synopsys model Pour ce projet, les quatre ont été sélectionnés. L onglet «Verilog-AMS» permet de définir des tableaux de résultats pour la caractérisation des délais (timing) et de la capacité d entrée (Input capacitance). Dans les deux cas des tables en trois dimensions ont été choisi, avec des résultats en fonction de la pente d entrée (Input slope) et de la capacité en sortie (Load capacitance). Les deux options possibles à savoir «Calibrate» et «Verify» ont aussi été sélectionné. (la Fig 5.7 ne correspond pas à ce qui a été fait) Fig 5.7 Onglet verilog-ams du setup Dans verilogd, rien n est à modifier. Il faut vérifier que l option «Calibrate» est bien activée. L onglet «Synopsys» permet de sélectionner toutes les caractérisations voulues, tant au niveau timing qu au niveau capacité ou encore, pour certaines cellules comme les flip-flop, au niveau contraintes. Si on veut aussi avoir les caractérisations de consommation, il faut sélectionner l option «Timing and power». Un champ d écriture permet d inscrire la surface de la cellule pour y être inséré dans le fichier librairie Synopsys.

19 PROJET DE SEMESTRE - Marc Kristol 19 Fig 5.8 Onglet Synopsys du setup L onglet «Defaults» correspond au valeur typique de caractérisation. En particulier on peut préciser la température à 27 C, la pente d entrée à 0,1 ns ou encore la capacité en sortie à 200fF. Mais comme la caractérisation permet de connaître la réponse de la cellule pour n importe quelles valeurs, l importance de cet onglet est très relative. L onglet «Sweeps» est très important. Une des principales questions à se poser avant de faire une caractérisation est quel niveau de caractérisation on veut atteindre. Les sweeps servent à caractériser une cellule en faisant varier un paramètre. Par exemple, on peut déterminer le délai de propagation en fonction d une température allant de 0 à 100 C par pas de 10 C, mais on peut aussi choisir un pas de 20 C. Le choix de la valeur des paramètres est décrite dans le chapitre 5.2. L option «sweep run» qui se trouve en bas permet de choisir de faire la caractérisation entière (avec toutes les valeurs choisies) ou avec seulement les valeurs minimum, typique et maximum. La seconde caractérisation qui est très rapide permet juste de vérifier que tout se passe bien dans un premier temps avant d effectuer la caractérisation complète.

20 20 PROJET DE SEMESTRE Marc Kristol Fig 5.9 Onglet Sweeps du setup Le dernier onglet contient les préférences de simulation. Il est important de sélectionner l option «Keep RAW files» pour conserver les données de simulation. Dans le cas d une grande cellule comme les cellules à quatre entrées et si plusieurs ordinateurs sont disponibles, il est possible de sélectionner l option «Distribute plan simulation» afin d augmenter la rapidité. Fig 5.10 Onglet options du setup Une fois que tous cela est fait, on clique sur «Generate» ou sur «Generate and run». La différence est que la première solution ne fait que générer tous les fichiers alors que la seconde génère les fichiers et lance immédiatement la caractérisation de la cellule.

21 PROJET DE SEMESTRE - Marc Kristol 21 Fig 5.11 Contenu du projet après génération des fichiers Les résultats de la caractérisation peuvent être visualisé en ouvrant le fichier sweep. Dans l onglet «results» et en faisant «plot», on obtient une liste des graphes de mesures. 5.2 Choix des paramètres de caractérisation Le choix des paramètres des sweeps dépend du niveau de précision que l on veut. Si les courbes de caractérisation sont peu linéaire, il faut alors prendre plus de point afin d être le plus précis possibles. Le problème est que si on prend trop de point, on obtient alors des matrices de caractérisation dans la librairie Synopsys qui sont gigantesques. On s est alors limité à un maximum de six points par paramètre pour la pente d entrée et la capacité de charge en sortie. Pour les paramètres de température et de tenson d alimentation, rien n a été touché car ils correspondaient bien à ce qui était voulu. La température varie de 0 à 100 C par pas de 10 C et la tension d alimentation varie entre 90% et 110% de sa valeur nominale par pas de 0,1 V. Pour la pente d entrée et la capacité de charge, il a d abord été effectué une caractérisation très précise avec beaucoup de points dans le but de vérifier la linéarité des courbes. On a fait varier la pente d entrée de 0,1 ns à 1 ns par pas de 0,05 ns et la capacité de charge de 0

22 22 PROJET DE SEMESTRE Marc Kristol à 500fF par pas de 20fF. Cette caractérisation a été faite pour deux cellules dites de référence à savoir la NAND à 2 entrées et la Flip-flop basique, qui permette d extrapoler les comportements des autres cellules. Fig 5.12 Délai de la NAND2 en fonction de la pente d entrée pour une capacité de 0fF Si la courbe de délai en fonction de la capacité de charge s est révélé bien linéaire, il en a été autrement pour celle en fonction de la pente d entrée (Fig 5.12). Même si cela n est pas catastrophique, il vaut mieux dans ce cas prendre un pas irrégulier. Après avoir relevé six valeurs par courbe et cela pour chaque courbe correspondant à une valeur de capacité de charge donnée de la cellule NAND2_1 et de la cellule DFF_BASIC, les six valeurs apparaissant le plus souvent ont été choisies comme paramètre de la pente d entrée. Ces valeurs sont : 0,1 ns, 0,2 ns, 0,3 ns, 0,5 ns, 0,8 ns et 1ns. Afin d avoir le même nombre de valeurs pour la capacité de charge, les valeurs 0, 100fF, 200fF, 300fF, 400fF et 500fF ont été choisi pour cette dernière.

23 PROJET DE SEMESTRE - Marc Kristol 23 Fig 5.13 Délai de la NAND2 avec six points de mesure La caractérisation précise a également permis de détecter un problème dans le degré de résolution des simulations. Les courbes de délai de la Flip-flop basique étaient très perturbé et de ce fait n était pas caractérisable avec seulement six points. Mais en augmentant le degré de résolution, le phénomène a disparu. Cela est peut-être dû à des erreurs d arrondies de numérisation. Fig 5.14 Problème de résolution numérique Le niveau de résolution peut être modifié en ouvrant le fichier de test timing (Fig 5.11). Une option avec un curseur pouvant varier entre 4 et +4 définie le niveau de résolution. Il est par défaut sur 0, pour corriger le problème il a été mis sur 3 ce qui semblait suffisant. Plus le degré de résolution est élevé, plus la simulation prend de temps.

24 24 PROJET DE SEMESTRE Marc Kristol 5.3 Génération de la librairie Synopsys Lors de la caractérisation, le logiciel génère un fichier librairie pour chaque cellule. Il existe cependant une commande permettant de rassembler tout ces fichier en un seul. Cette commande se trouve dans le menu «tools» du setup de chaque cellule. Il reste alors à entrer le nom du fichier unique et le nom de la librairie. Fig 5.15 Génération d une librairie unique

25 PROJET DE SEMESTRE - Marc Kristol LIBRARY COMPILER Le logiciel Library Compiler existe dans une version graphique. Cependant c est via un terminal qu il a été utilisé. Il se lance avec la commande suivante au niveau du répertoire du travail. >lc_shell 6.1 Compilation de la librairie Synopsys Pour compiler la libraire dans sa version binaire «.db» il faut d abord la faire lire par le logiciel : >read_lib BadBoy05.lib Il se peut qu un certain nombre de messages tels des warnings ou des errors soient alors affichés. Dans le cas d errors, la librairie ne peut pas être compilée. Pour la Librairie BadBoy05.lib généré par Aptivia/DCM se fut le cas. Il a alors fallu la corriger avec un script (annexe B). La librairie Synopsys contient au début une série de templates. Dans le cas de la librairie BadBOy05, certain de ces templates étaient présents en double. Le script permet alors de supprimer les templates en trop. Un autre problème était que pour les cellules NAND 2_1, NAND3_1, NAND4_1, XOR 2_1 et XNOR2_1 le nom de la librairie était devenu nbadboy05 ou xbadboy05. Cela est également corrigé par le script. Le dernier problème survenu est dû au fonctionnement des cellules tri-state. Lors d une transition du signal sur le pin de sélection (SEL) qui provoque un état de haute-impédance en sortie, il n y a pas eu de caractérisation effectuée car la sortie ne varie pas entre 0 et 1 ou vice-versa. Pourtant le logiciel s attend trouver des matrices de délais et de temps de transition. Il a été rajouté manuellement les éléments suivant pour remplacer ces matrices : rise_transition (scalar) { Values( 0.0 ) ; } fall_transition (scalar) { Values( 0.0 ) ; } Une fois ces problèmes corrigés, la compilation s effectue avec la commande suivante : >write_lib BadBoy05 o bb05.db D autres commandes peuvent être utiles. >report_lib BadBoy05 Cette commande permet d avoir un rapport sur la lecture. En particulier elle permet de voir si toutes les cellules ont été repérés.

26 26 PROJET DE SEMESTRE Marc Kristol Si des problèmes de conflit entre librairies apparaissent il est possibles de commencer la procédure par la commande suivante avant d effectuer la lecture : >remove_lib La librairie de symboles se compile selon le même processus. 6.2 Génération des modèles VHDL La génération des modèles VHDL s effectue avec la procédure suivante : >read_lib BadBoy05.lib >vhdllib_architecture = VITAL >write_lib f vhdl BadBoy05 La première ligne fait une lecture de la librairie. La seconde permet de choisir le style d architecture. Une des architectures les plus courantes est VITAL. La troisième ligne génère les trois fichiers VHDL : - BadBoy05_VITAL.vhd - BadBoy05_Vcomponents.vhd - BadBoy05_Vtables.vhd Il est également possible de générer des testbenchs de validation des cellules. La procédure est la suivante : >read_lib BadBoy05.lib >vhdllib_architecture = VITAL >vhdllib_tb_x_eq_dontcare = false >vhdllib_tb_compare = 5 >write_lib f vhdl BadBoy05 Il est à noter que les modèles VHDL sont également généré avec cette procédure. La commande de la troisième ligne sert à définir si on désire que l état x corresponde à un état «Don t care». La commande de la quatrième ligne permet de choisir le niveau de vérification, 0 étant le niveau sans vérification et 5 le plus élevé. L utilisation des testbenchs est commentée dans le chapitre 7.

27 PROJET DE SEMESTRE - Marc Kristol VALIDATIONS La validation des résultats est une partie importante du flot de développement. Sans validation, on ne peut jamais être certain que les résultats obtenus sont corrects. Une première vérification est faite en voyant si les fichiers générés sont acceptés par les logiciels qui les utilisent ensuite. C est une vérification syntaxique, mais qui ne permet pas de dire si le contenu est juste. Avec la validation par des tests, on peut très vite dire si les résultats obtenus ne sont pas aberrants. De plus, comme on dispose des résultats du projet précédent, on peut également faire une validation par comparaison. 7.1 Validation de la caractérisation La caractérisation est justement validée par comparaison. Dans l ensemble, les valeurs de délais et pente de sortie sont du même ordre. On compare en particulier les délais de propagation et les temps de transition pour des capacité de charges de 200 ff et 400 ff. On compare également les capacités des pins d entrées. Les petites différences sont dues au faites que la caractérisation n a pas été faite avec les mêmes outils et de la même manière. De plus, les anciens résultats ne comprennent que les valeurs des cas les plus mauvais possible, alors qu ici on a les valeurs de toutes les combinaisons possibles de trajets entre les entrées et sorties. delay-rise delay-fall output slope-rise output slope-fall 200fF 400fF 200fF 400fF 200fF 400fF 200fF 400fF BadBoy ps 637 ps 415 ps 681 ps 686 ps 1,2 ns 715 ps 1,3 ns Version 2003 Ain->Qout 337,5 ps 575,2 ps 376,5 ps 646,6 ps 711,1 ps 1315,2 ps 776,7 ps 1421,3 ps Bin->Qout 361,0 ps 597,0 ps 383,5 ps 654,2 ps 757,8 ps 1348,9 ps 776,9 ps 1422,4 ps Capacité Ain Bin BadBoy05 31,88 ff 31,90 ff Version ,29 ff 28,33 ff Tableau 7.1 Validation de la cellule NAND2_1 delay-rise delay-fall output slope-rise output slope-fall CLK->Qout 200fF 400fF 200fF 400fF 200fF 400fF 200fF 400fF BadBoy ps 1,0 ns 1,0 ns 1,2 ns 342 ps 580 ps 338 ps 573 ps Version ,8 ps 1002,2 ps 1249,9 ps 1376,8 ps 407,7 ps 661,1 ps 404,9 ps 663,5 ps CLK->Q'out BadBoy ps 998 ps 805 ps 998 ps 410 ps 641 ps 368 ps 607 ps Version ,8 ps 1086,9 ps 675,9 ps 819,4 ps 474,8 ps 732,4 ps 436,5 ps 702,1 ps Capacité Din CLK BadBoy05 47,57 ff 53,39 ff Version ,46 ff 53,55 ff Tableau 7.2 Validation de la cellule DFF_BASIC

28 28 PROJET DE SEMESTRE Marc Kristol Dans les deux cas test ci-dessus (tableau 7.1 et 7.2), les différences de temps entre les deux caractérisations sont presque toutes inférieures à 100 ps. Pour les capacités d entrées, les différences sont de l ordre de 5fF au maximum. Les résultats complets de validation de la caractérisation se trouvent en annexe (annexe A). 7.2 Validation des cellules par testbench Les fichiers générés par Library Compiler comprennent un fichier testbench VHDL, un fichier de signaux général pour la simulation, un script qui génère les fichiers de signaux pour chaque cellule et un script qui permet de faire la validation. Malheureusement la validation effectuée par ce script donne des résultats négatifs. La tentative pour comprendre si les testbench étaient bons avec un debugger a révélé qu apparemment les signaux qui sortent des cellules sont bons, mais la vérification avec des signaux théoriques ne passait pas. Par manque de temps il n a pas été possible de vérifier plus loin et de corriger les problèmes. Mais, il semble malgré tout que les cellules de la bibliothèque fonctionnent correctement. 7.3 Validation par synthèse La validation par synthèse est faite à l aide de quatre circuits provenant du projet initial. Il s agit un additionneur un bit (ONEBIT), d un additionneur huit bits (ADDER8), d une unité arithmétique et logique (ALU) et d un ISP. La synthèse de ces circuits est faite avec deux optimisations différentes : l optimisation en surface et celle en délai. Dans le but de correspondre à la dernière version du langage VHDL, les codes ont été un peu modifiés. Les packages std_logic_arith et std_logic_unsigned ont été remplacés par le package numérique_std. Cela implique de changer tous les signaux ou variables de type std_logic_vecteur par le type unsigned (annexe E). Après avoir au préalable définit dans le fichier de setup du logiciel Design Vision qu il doit utiliser la librairie Synopsys et la librairie des symboles (les versions compilées), la première étape est le lui faire analyser le modèle VHDL. Cela se fait en allant sur «Analyze file» dans le menu «File». Une fois là, il suffit d entrer le lien vers le modèle voulu. L étape suivante est de faire une première élaboration du circuit. On trouve cela également dans le menu «File» sous «Elaborate Design». Une première visualisation du circuit apparaît, mais sans aucune optimisation. Pour appliquer une contrainte de surface minimum, il faut aller dans le menu «Attributes» dans «Optimization Constraints, puis dans «Design contraints». Dans la fenêtre qui s ouvre (Fig 7.3), il suffit seulement de mettre dans le champ «Max area» la valeur 0.

29 PROJET DE SEMESTRE - Marc Kristol 29 Fig 7.3 Contrainte de surface minimum Pour imposer une contrainte de délai minimum il faut au préalable sélectionner tous les pins de sortie du circuit. Une fois cela fait, le chemin est le même : «Attributes» -> «Optimization contraints» -> «Timing contraints». Fig 7.4 sélection des pins de sortie Dans ce projet, la contrainte mise sur les délais a été mise à 0. Mais il est possible que pour des circuits plus complexes, le simulateur n arrive pas résoudre le problème et finissent par tourner indéfiniment.

30 30 PROJET DE SEMESTRE Marc Kristol Fig 7.5 Contrainte sur les délais Pour le circuit ISP qui possède un signal d horloge, la contrainte sur le délai est un peu différente. Il faut sélectionner le pin d horloge. Puis, en allant dans le menu «attributes» dans «Clocks» et «Specify», on peut alors spécifier la durée d une période d horloge. L optimisation se fait alors par essai successif en diminuant à chaque fois la période jusqu à trouver la période minimal pour laquelle les délais internes du circuit sont respectés. Fig 7.6 Attribution d un signal d horloge Les deux contraintes ne sont jamais imposées en même temps. Soit on fait une optimisation en surface ou soit on la fait en délai. Après avoir imposé la contrainte voulue une version du

31 PROJET DE SEMESTRE - Marc Kristol 31 circuit est sauvegardée afin de pouvoir refaire une optimisation si cela est nécessaire comme dans le cas de l ISP. Pour effectuer l optimisation, il faut aller dans le menu «Tools» dans «Design optimization». Là il faut préciser le niveau d effort d optimisation à «high» et sélectionner l options «Ungroup All», cela dans le but d avoir une optimisation qui ne soit pas bloqué par des composants interne du circuit comme c est le cas pour l ISP qui possède les composants Shifter et Logic. Pour que le logiciel puisse trouver ces composants, il ne faut pas oublier de lui faire analyser les codes VHDl de ceux-ci. Fig 7.7 Fenêtre d optimisation Une fois l optimisation exécutée, il est possible d aller voir les rapports d optimisation dans le menu «Reports». Une version du circuit optimiser a également été sauvegardée. Pour optimiser l exécution de toutes ces optimisations, des scripts qui font tout le processus ont été fait. Il suffit alors de taper dans la ligne de commande : > include nom_du_script.dcs Des scripts ont été faits pour chaque circuit avec optimisation en surface et en délai pour les conditions typical, best et worst. Ces scripts se trouvent en annexe (annexe F).

32 32 PROJET DE SEMESTRE Marc Kristol Fig 7.8 Optimisation de l ALU en surface avec le chemin critique Les résultats de la synthèse sont bons et, comparativement, sont très proches de ceux du projet précédent. Le fait d avoir choisir un effort maximal fait que les nouveaux résultats sont un petit peu meilleur, tant en surface qu en timing (tableau 7.9). Le tableau 7.10 contient en plus les résultats pour les conditions best et worst.

33 PROJET DE SEMESTRE - Marc Kristol 33 Onebit AREA OPTIMIZATION SPEED OPTIMIZATION Area [um^2] Delay [ns] Nbr Cells % Lib Used Area [um^2] Delay [ns] Nbr Cells % Lib Used BadBoy ,79 3 5, ,63 5 7,7 BB05 v ,78 3 5, , ,4 Adder8 AREA OPTIMIZATION SPEED OPTIMIZATION Area [um^2] Delay [ns] Nbr Cells % Lib Used Area [um^2] Delay [ns] Nbr Cells % Lib Used BadBoy , , , ,5 BB05 v , , , ,9 ALU AREA OPTIMIZATION SPEED OPTIMIZATION Area [um^2] Delay [ns] Nbr Cells % Lib Used Area [um^2] Delay [ns] Nbr Cells % Lib Used BadBoy , , , ,7 BB05 v , , , ,0 ISP AREA OPTIMIZATION SPEED OPTIMIZATION Area [um^2] Delay [ns] Nbr Cells % Lib Used Area [um^2] Delay [ns] Nbr Cells % Lib Used BadBoy , BB05 v , , , ,4 Tableau 7.9 Comparaisons des résultats de synthèse Onebit AREA OPTIMIZATION SPEED OPTIMIZATION Area [um^2] Delay [ns] Nbr Cells % Lib Used Area [um^2] Delay [ns] Nbr Cells % Lib Used Best ,71 3 5, , ,8 Typical ,78 3 5, , ,4 Worst ,91 3 5, , ,4 Adder8 AREA OPTIMIZATION SPEED OPTIMIZATION Area [um^2] Delay [ns] Nbr Cells % Lib Used Area [um^2] Delay [ns] Nbr Cells % Lib Used Best , , , ,0 Typical , , , ,9 Worst , , , ,5 ALU AREA OPTIMIZATION SPEED OPTIMIZATION Area [um^2] Delay [ns] Nbr Cells % Lib Used Area [um^2] Delay [ns] Nbr Cells % Lib Used Best , , , ,6 Typical , , , ,0 Worst , , , ,0 ISP AREA OPTIMIZATION SPEED OPTIMIZATION Area [um^2] Delay [ns] Nbr Cells % Lib Used Area [um^2] Delay [ns] Nbr Cells % Lib Used Best , , , ,4 Typical , , , ,4 Worst , , , ,0 Tableau 7.10 Résultats complets de la synthèse

34 34 PROJET DE SEMESTRE Marc Kristol 8. TRAVAILS FUTURS ET CONCLUSION Ce projet comporte beaucoup d éléments à réaliser et malheureusement tous n ont pas pu être amenés à terme. La première chose à terminer est de résoudre le problème de validation avec les testbenchs. Cela demande de d abord bien comprendre les fichiers générés par Library Compiler et leur utilité. Ce qui n est pas chose aisée. Dans un second temps, toute la partie placement et routage reste à faire. Il faut comprendre les logiciels utiles pour cette partie et, une fois les fichiers générés, mettre un point une procédure de validation. Une autre chose intéressante à faire est de refaire toute la caractérisation à partir des netlists extraites des layouts. Elles prendraient ainsi en compte les effets parasites et donc permettraient d avoir un comportement plus proche de la réalité. Il est également possible de créer de nouvelles cellules comme un additionneur un bit ou des portes XOR et XNOR à 3 et 4 entrées dans le but d étoffer cette bibliothèque qui reste malgré tout restreinte. Ce qui ressort de ce projet est la grande difficulté à utiliser des outils provenant de différents fournisseurs. Lorsqu on développe un système, il est presque préférable d utiliser toute la gamme d outils provenant du même fournisseur afin d éviter les problèmes d incompatibilité. Malgré cela, il est à souligner que chacun de ces outils pris individuellement reste très performant et apporte un gain de temps considérable dans le développement, même si ces derniers ne sont pas toujours très faciles à apprivoiser à cause de leurs grandes complexités.

35 PROJET DE SEMESTRE - Marc Kristol RÉFÉRENCES «Standard Cell Library Blocks Design and Evaluation» A Major Thesis of the Worcester Polytechnic Institute, April 2000 Samuel R. Girgis and Carl F. Nielsen Jr. «BadBoy05 Standard Cell Library Data Book», April 2000 Samuel R. Girgis and Carl F. Nielsen Jr. «Modélisation de systèmes intégrés numériques - Introduction à VHDL» Notes de cours, 2002 Alain Vachoux «Synospys Design Vision introduction», 2002 Alain Vachoux «Design Characterization and Modelling User s Guide», May 2002 Antrim Design Systems Inc. «Integrated Digital Systems» Lecture notes, 2002 Yusuf Leblebici «Circuits Intégrés Numériques» Notes de cours, 2002 Bertrand Hochet «Analyse et Synthèse des Systèmes Logiques» Traité d Electricité vol. V - PPUR,1995 Daniel Mange

36 36 PROJET DE SEMESTRE Marc Kristol 10. ANNEXES A. Datasheets B. Script de correction de la librairie Synopsys C. Librairie Synopsys D. Stubs E. Modèles VHDL des circuits de synthèse F. Scripts de synthèse pour Design Vision

37 PROJET DE SEMESTRE - Marc Kristol 37 A. BadBoy05 datasheets Conditions : Vdd = 3.3 V Temperature : 27 C Input slope = 0.2 ns AND2_1 : Fonction : Qout = Ain * Bin Input Capacitance[fF] Truth Table Ain Ain Bin Qout Bin Load Ain 200fF 400fF Propagation Delay -Rise Propagation Delay -Fall Ouput Slope - Rise Ouput Slope - Fall Bin Propagation Delay -Rise Propagation Delay -Fall Ouput Slope - Rise Ouput Slope - Fall AND3_1 : Fonction : Qout = Ain * Bin * Cin Input Capacitance[fF] Truth Table Ain Ain Bin Cin Qout Bin Cin Load Ain 200fF 400fF Propagation Delay -Rise Propagation Delay -Fall Ouput Slope - Rise Ouput Slope - Fall Bin Propagation Delay -Rise Propagation Delay -Fall Ouput Slope - Rise Ouput Slope - Fall Cin Propagation Delay -Rise Propagation Delay -Fall Ouput Slope - Rise Ouput Slope - Fall

38 38 PROJET DE SEMESTRE Marc Kristol AND4_1 : Fonction : Qout = Ain * Bin * Cin * Din Input Capacitance[fF] Truth Table Ain Ain Bin Cin Din Qout Bin Cin Din Load Ain 200fF 400fF Propagation Delay -Rise Propagation Delay -Fall Ouput Slope - Rise Ouput Slope - Fall Bin Propagation Delay -Rise Propagation Delay -Fall Ouput Slope - Rise Ouput Slope - Fall Cin Propagation Delay -Rise Propagation Delay -Fall Ouput Slope - Rise Ouput Slope - Fall Din Propagation Delay -Rise Propagation Delay -Fall Ouput Slope - Rise Ouput Slope - Fall AO21 : Fonction : Qout = (Ain * Bin) + Cin Input Capacitance[fF] Truth Table Ain Ain Bin Cin Qout Bin Cin Load Ain 200fF 400fF Propagation Delay -Rise Propagation Delay -Fall Ouput Slope - Rise Ouput Slope - Fall Bin Propagation Delay -Rise Propagation Delay -Fall Ouput Slope - Rise Ouput Slope - Fall Cin Propagation Delay -Rise Propagation Delay -Fall

39 PROJET DE SEMESTRE - Marc Kristol 39 Ouput Slope - Rise Ouput Slope - Fall AO22 : Fonction : Qout = (Ain * Bin) + (Cin + Din) Input Capacitance[fF] Truth Table Ain Ain Bin Cin Din Qout Bin Cin Din Load Ain 200fF 400fF Propagation Delay -Rise Propagation Delay -Fall Ouput Slope - Rise Ouput Slope - Fall Bin Propagation Delay -Rise Propagation Delay -Fall Ouput Slope - Rise Ouput Slope - Fall Cin Propagation Delay -Rise Propagation Delay -Fall Ouput Slope - Rise Ouput Slope - Fall Din Propagation Delay -Rise Propagation Delay -Fall Ouput Slope - Rise Ouput Slope - Fall AOI21 : Fonction : Qout = {(Ain * Bin) + Cin} Input Capacitance[fF] Truth Table Ain Ain Bin Cin Qout Bin Cin Load Ain 200fF 400fF Propagation Delay -Rise Propagation Delay -Fall Ouput Slope - Rise Ouput Slope - Fall Bin Propagation Delay -Rise Propagation Delay -Fall Ouput Slope - Rise Ouput Slope - Fall Cin Propagation Delay -Rise Propagation Delay -Fall

40 40 PROJET DE SEMESTRE Marc Kristol Ouput Slope - Rise Ouput Slope - Fall AOI22 : Fonction : Qout = {(Ain * Bin) + (Cin + Din)} Input Capacitance[fF] Truth Table Ain Ain Bin Cin Din Qout Bin Cin Din Load Ain 200fF 400fF Propagation Delay -Rise Propagation Delay -Fall Ouput Slope - Rise Ouput Slope - Fall Bin Propagation Delay -Rise Propagation Delay -Fall Ouput Slope - Rise Ouput Slope - Fall Cin Propagation Delay -Rise Propagation Delay -Fall Ouput Slope - Rise Ouput Slope - Fall Din Propagation Delay -Rise Propagation Delay -Fall Ouput Slope - Rise Ouput Slope - Fall BUF_1 : Fonction : Qout = Ain Input Capacitance[fF] Truth Table Ain Ain Qout 0 0 Load 1 1 Ain 200fF 400fF Propagation Delay -Rise Propagation Delay -Fall Ouput Slope - Rise Ouput Slope - Fall

41 PROJET DE SEMESTRE - Marc Kristol 41 DFF_BASIC : Input Capacitance[fF] Truth Table Din Din CLK Qout (k) Q'out (k) CLK x 0 no change no change Load CLK -> Qout 200fF 400fF Propagation Delay -Rise Propagation Delay -Fall Ouput Slope - Rise Ouput Slope - Fall CLK -> Q'out Propagation Delay -Rise Propagation Delay -Fall Ouput Slope - Rise Ouput Slope - Fall DFF_CLR : Input Capacitance[fF] Truth Table Din Din CLK CLR Qout (k) Q'out (k) CLK x x CLR Load x 0 1 no change no change CLK -> Qout 200fF 400fF Propagation Delay -Rise Propagation Delay -Fall Ouput Slope - Rise Ouput Slope - Fall CLR -> Qout Propagation Delay -Fall Ouput Slope - Fall CLK -> Q'out Propagation Delay -Rise Propagation Delay -Fall Ouput Slope - Rise Ouput Slope - Fall CLR -> Q'out Propagation Delay -Rise Ouput Slope - Rise

42 42 PROJET DE SEMESTRE Marc Kristol DFF_CLR_PRE : Input Capacitance[fF] Truth Table Din Din CLK CLR PRE Qout (k) Q'out (k) CLK x x CLR PRE x no change no change Load x x CLK -> Qout 200fF 400fF x x 0 0 illegal illegal Propagation Delay -Rise Propagation Delay -Fall Ouput Slope - Rise Ouput Slope - Fall CLR -> Qout Propagation Delay -Fall Ouput Slope - Fall PRE -> Qout Propagation Delay -Rise Ouput Slope - Rise CLK -> Q'out Propagation Delay -Rise Propagation Delay -Fall Ouput Slope - Rise Ouput Slope - Fall CLR -> Q'out Propagation Delay -Rise Ouput Slope - Rise PRE -> Q'out Propagation Delay -Fall Ouput Slope - Fall DFF_PRE : Input Capacitance[fF] Truth Table Din Din CLK PRE Qout (k) Q'out (k) CLK x x PRE Load x 0 1 no change no change CLK -> Qout 200fF 400fF Propagation Delay -Rise Propagation Delay -Fall Ouput Slope - Rise Ouput Slope - Fall PRE -> Qout Propagation Delay -Rise Ouput Slope - Rise CLK -> Q'out Propagation Delay -Rise Propagation Delay -Fall Ouput Slope - Rise Ouput Slope - Fall PRE -> Q'out Propagation Delay -Fall

Les portes logiques. Voici les symboles des trois fonctions de base. Portes AND. Portes OR. Porte NOT

Les portes logiques. Voici les symboles des trois fonctions de base. Portes AND. Portes OR. Porte NOT Les portes logiques Nous avons jusqu ici utilisé des boutons poussoirs et une lampe pour illustrer le fonctionnement des opérateurs logiques. En électronique digitale, les opérations logiques sont effectuées

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2008-2009 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques Pentium4 Présentation du simulateur VHDL sous environnement Cadence Présentation de l outil Synopsys

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2011-2012 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques WIDEMACV1 LAAS-CNRS 2011 Présentation du simulateur VHDL sous environnement Cadence Présentation

Plus en détail

1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique...

1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique... 1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique... 4 2 Quelques actions... 5 2.1 Ouvrir un document existant...5 2.2

Plus en détail

Mini_guide_Isis.pdf le 23/09/2001 Page 1/14

Mini_guide_Isis.pdf le 23/09/2001 Page 1/14 1 Démarrer...2 1.1 L écran Isis...2 1.2 La boite à outils...2 1.2.1 Mode principal...3 1.2.2 Mode gadgets...3 1.2.3 Mode graphique...3 2 Quelques actions...4 2.1 Ouvrir un document existant...4 2.2 Sélectionner

Plus en détail

Le langage VHDL. Eduardo Sanchez EPFL

Le langage VHDL. Eduardo Sanchez EPFL Le langage VHDL Eduardo Sanchez EPFL Livres conseillés: John F. Wakerly Digital design (4th edition) Prentice Hall, 2005 Peter J. Ashenden The designer's guide to VHDL (3rd edition) Morgan Kaufmann, 2008

Plus en détail

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3. SIMULATION 7 " - 4.

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & '  ( ) '*+ , ##) #  -. /0  1 2  3. SIMULATION 7  - 4. TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3! " #$ % & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3' & 3. SIMULATION 7 0 ( 0, - 0 - " - & 1 4. LA SOURIS 11 5. LES RACCOURCIS CLAVIER 11 STI Electronique

Plus en détail

SIN-FPGA DESCRIPTION PAR SCHEMA

SIN-FPGA DESCRIPTION PAR SCHEMA SIN-FPGA DESCRIPTION PAR SCHEMA Documents ressources: http://www.altera.com/literature/lit-index.html Introduction to Quartus II : intro_to_quartus2.pdf Documentation QUARTUS II : quartusii_handbook.pdf

Plus en détail

Modélisation physique des cellules logiques... Modèles pour le placement routage, le format "LEF"

Modélisation physique des cellules logiques... Modèles pour le placement routage, le format LEF Modélisation physique des cellules logiques... Modèles pour le placement routage, le format "LEF" Yves Mathieu Plan Introduction Technologie Macros Conclusion 2/21 FC Backend ASIC Yves Mathieu Library

Plus en détail

Mini_guide_Isis_v6.doc le 10/02/2005 Page 1/15

Mini_guide_Isis_v6.doc le 10/02/2005 Page 1/15 1 Démarrer... 2 1.1 L écran Isis... 2 1.2 Les barres d outils... 3 1.2.1 Les outils d édition... 3 1.2.2 Les outils de sélection de mode... 4 1.2.3 Les outils d orientation... 4 2 Quelques actions... 5

Plus en détail

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits Architecture des ordinateurs TD1 - Portes logiques et premiers circuits 1 Rappel : un peu de logique Exercice 1.1 Remplir la table de vérité suivante : a b a + b ab a + b ab a b 0 0 0 1 1 0 1 1 Exercice

Plus en détail

ERETES-SERIES. Support de formation. Formation ERETES ERETES-SERIE 1/58

ERETES-SERIES. Support de formation. Formation ERETES ERETES-SERIE 1/58 ERETES-SERIES Support de formation 2013 Formation ERETES ERETES-SERIE 1/58 1. Introduction... 4 2. La base de données «Serie»... 5 3. Les Interfaces... 11 4. Le Bloc-Notes... 14 5. La gestion des nomenclatures...

Plus en détail

EXCEL TUTORIEL 2012/2013

EXCEL TUTORIEL 2012/2013 EXCEL TUTORIEL 2012/2013 Excel est un tableur, c est-à-dire un logiciel de gestion de tableaux. Il permet de réaliser des calculs avec des valeurs numériques, mais aussi avec des dates et des textes. Ainsi

Plus en détail

Outils de CAO. Ecole de microélectronique IN2P3. 12-15 octobre 2009, La Londe Les Maures. C. Colledani

Outils de CAO. Ecole de microélectronique IN2P3. 12-15 octobre 2009, La Londe Les Maures. C. Colledani Outils de CAO Ecole de microélectronique IN2P3 12-15 octobre 2009, La Londe Les Maures C. Colledani Réseau IAO-CAO électronique IN2P3 Mission: Doter les électroniciens de l'in2p3 des outils de conception

Plus en détail

Notice ARES Version 5.20 Française

Notice ARES Version 5.20 Française ARES -1/19 Notice ARES Version 5.20 Française Carlos Valente Technicien IUT LIMOGES Département Génie Electrique et informatique Industrielle 19100 Brive la gaillarde France. Page - 1/19 ARES -2/19 Routage

Plus en détail

Tutorial Cadence Virtuoso

Tutorial Cadence Virtuoso Tutorial Cadence Virtuoso (Les premiers pas) Cadence Virtuoso IC6.1.500.3 Design Kit AustriaMicroSystems (AMS) HIT-Kit 4.00 Process : c35b4c3 (0.35µm CMOS 4 métaux) Table des matières Login et ouverture

Plus en détail

Date M.P Libellé Catégorie S.Catégorie Crédit Débit Solde S.B

Date M.P Libellé Catégorie S.Catégorie Crédit Débit Solde S.B Excel : Réalisation d un classeur Compta Saisir les étiquettes Renommer la première feuille Compta Laisser la première ligne vide et sur la deuxième ligne saisir les étiquettes Se placer sur A2 et saisir

Plus en détail

Transmission d informations sur le réseau électrique

Transmission d informations sur le réseau électrique Transmission d informations sur le réseau électrique Introduction Remarques Toutes les questions en italique devront être préparées par écrit avant la séance du TP. Les préparations seront ramassées en

Plus en détail

Utilisation de Sarbacane 3 Sarbacane Software

Utilisation de Sarbacane 3 Sarbacane Software Tutorial par Anthony Da Cruz Utilisation de Sarbacane 3 Sarbacane Software Ambiance Soleil 17 Rue Royale 74000, Annecy Sommaire 1. Présentation générale 2. Guide étape par étape 3. Astuces de l éditeur

Plus en détail

VIII- Circuits séquentiels. Mémoires

VIII- Circuits séquentiels. Mémoires 1 VIII- Circuits séquentiels. Mémoires Maintenant le temps va intervenir. Nous avions déjà indiqué que la traversée d une porte ne se faisait pas instantanément et qu il fallait en tenir compte, notamment

Plus en détail

IFT1215 Introduction aux systèmes informatiques

IFT1215 Introduction aux systèmes informatiques Introduction aux circuits logiques de base IFT25 Architecture en couches Niveau 5 Niveau 4 Niveau 3 Niveau 2 Niveau Niveau Couche des langages d application Traduction (compilateur) Couche du langage d

Plus en détail

Optimiser pour les appareils mobiles

Optimiser pour les appareils mobiles chapitre 6 Optimiser pour les appareils mobiles 6.1 Créer un site adapté aux terminaux mobiles avec jquery Mobile... 217 6.2 Transformer son site mobile en application native grâce à PhoneGap:Build...

Plus en détail

Atelier Le gestionnaire de fichier

Atelier Le gestionnaire de fichier Chapitre 12 Atelier Le gestionnaire de fichier I Présentation Nautilus est un gestionnaire de fichiers pour l environnement de bureau Gnome. Il offre toutes les fonctions de gestion de fichier en local

Plus en détail

Manipulations du laboratoire

Manipulations du laboratoire Manipulations du laboratoire 1 Matériel Les manipulations de ce laboratoire sont réalisées sur une carte électronique comprenant un compteur 4-bit asynchrone (74LS93) avec possibilité de déclenchement

Plus en détail

Introduction à MATLAB R

Introduction à MATLAB R Introduction à MATLAB R Romain Tavenard 10 septembre 2009 MATLAB R est un environnement de calcul numérique propriétaire orienté vers le calcul matriciel. Il se compose d un langage de programmation, d

Plus en détail

Utiliser un tableau de données

Utiliser un tableau de données Utiliser un tableau de données OBJECTIFS : - Définir une Base de Données. - Présentation : tableau de données. - Création d un tableau de données - Gestion d un tableau de données. - Trier et Filtrer des

Plus en détail

Manuel d utilisation du site web de l ONRN

Manuel d utilisation du site web de l ONRN Manuel d utilisation du site web de l ONRN Introduction Le but premier de ce document est d expliquer comment contribuer sur le site ONRN. Le site ONRN est un site dont le contenu est géré par un outil

Plus en détail

Excel 2007 Niveau 3 Page 1 www.admexcel.com

Excel 2007 Niveau 3 Page 1 www.admexcel.com Excel 2007 Niveau 3 Page 1 TABLE DES MATIERES UTILISATION DE LISTES DE DONNEES... 4 REMARQUES PREALABLES SUR LES LISTES DE DONNEES... 4 METTRE EN FORME LE TABLEAU... 6 METTRE LA LISTE A JOUR... 7 a/ Directement

Plus en détail

Service On Line : Gestion des Incidents

Service On Line : Gestion des Incidents Service On Line : Gestion des Incidents Guide de l utilisateur VCSTIMELESS Support Client Octobre 07 Préface Le document SoL Guide de l utilisateur explique comment utiliser l application SoL implémentée

Plus en détail

Installation de SQL Server Reporting Services avec l intégration dans un site Windows SharePoint Services V3

Installation de SQL Server Reporting Services avec l intégration dans un site Windows SharePoint Services V3 Installation de SQL Server Reporting Services avec l intégration dans un site Windows SharePoint Services V3 Introduction Le Service Pack 2 de SQL Server 2005 a ajouté une option de gestion et d utilisation

Plus en détail

Importation de fichiers Eagle

Importation de fichiers Eagle Importation de fichiers Eagle 2 Mention de réserve sur les droits d'auteur Les droits d auteur rattachés à tout ou partie des présents logiciel et manuel appartiennent à RS Components et ne peuvent être

Plus en détail

Microsoft Excel : tables de données

Microsoft Excel : tables de données UNIVERSITE DE LA SORBONNE NOUVELLE - PARIS 3 Année universitaire 2000-2001 2ème SESSION SLMD2 Informatique Les explications sur la réalisation des exercices seront fournies sous forme de fichiers informatiques.

Plus en détail

MICROINFORMATIQUE NOTE D APPLICATION 1 (REV. 2011) ARITHMETIQUE EN ASSEMBLEUR ET EN C

MICROINFORMATIQUE NOTE D APPLICATION 1 (REV. 2011) ARITHMETIQUE EN ASSEMBLEUR ET EN C Haute Ecole d Ingénierie et de Gestion Du Canton du Vaud MICROINFORMATIQUE NOTE D APPLICATION 1 (REV. 2011) ARITHMETIQUE EN ASSEMBLEUR ET EN C Programmation en mode simulation 1. DOCUMENTS DE RÉFÉRENCE...

Plus en détail

Fiche technique CPU 314SC/DPM (314-6CG13)

Fiche technique CPU 314SC/DPM (314-6CG13) Fiche technique CPU 314SC/DPM (3146CG13) Données techniques N de commande 3146CG13 Type CPU 314SC/DPM Information générale Note Caractéristiques SPEEDBus Technologie SPEED7 24 x DI, 16 x DO, 8 x DIO, 4

Plus en détail

ESPACE COLLABORATIF SHAREPOINT

ESPACE COLLABORATIF SHAREPOINT Conseil de l Europe Service des Technologies de l Information ESPACE COLLABORATIF SHAREPOINT DOSSIER D UTILISATEUR 1/33 Sommaire 1. Présentation de SharePoint... 3 1.1. Connexion... 4 2. Les listes...

Plus en détail

données en connaissance et en actions?

données en connaissance et en actions? 1 Partie 2 : Présentation de la plateforme SPSS Modeler : Comment transformer vos données en connaissance et en actions? SPSS Modeler : l atelier de data mining Large gamme de techniques d analyse (algorithmes)

Plus en détail

Arithmétique binaire. Chapitre. 5.1 Notions. 5.1.1 Bit. 5.1.2 Mot

Arithmétique binaire. Chapitre. 5.1 Notions. 5.1.1 Bit. 5.1.2 Mot Chapitre 5 Arithmétique binaire L es codes sont manipulés au quotidien sans qu on s en rende compte, et leur compréhension est quasi instinctive. Le seul fait de lire fait appel au codage alphabétique,

Plus en détail

Utilisation de KoXo Computers V2.1

Utilisation de KoXo Computers V2.1 Version 2.1.0.0 / Mai 2013 Page 2/45 Sommaire 1 Présentation... 4 2 Configuration des stations... 4 2. 1 Démarche manuelle (non conseillée)... 4 2. 2 Démarche automatique par les stratégies de groupe (conseillée)...

Plus en détail

MAC-TC: programmation d un plate forme DSP-FPGA

MAC-TC: programmation d un plate forme DSP-FPGA MAC-TC: programmation d un plate forme DSP-FPGA Tanguy Risset avec l aide de: Nicolas Fournel, Antoine Fraboulet, Claire Goursaud, Arnaud Tisserand - p. 1/17 Plan Partie 1: le système Lyrtech Introduction

Plus en détail

Introduction à Eclipse

Introduction à Eclipse Introduction à Eclipse Eclipse IDE est un environnement de développement intégré libre (le terme Eclipse désigne également le projet correspondant, lancé par IBM) extensible, universel et polyvalent, permettant

Plus en détail

NiceLabel pour Services Microsoft Windows Terminal Serveur et Citrix MetaFrame

NiceLabel pour Services Microsoft Windows Terminal Serveur et Citrix MetaFrame www.nicelabel.fr info@nicelabel.fr NiceLabel pour Services Microsoft Windows Terminal Serveur et Citrix MetaFrame White Paper Version 20051114-06-FR 2005 Euro Plus. Tous droits réservés. http://www.nicelabel.fr

Plus en détail

Initiation à LabView : Les exemples d applications :

Initiation à LabView : Les exemples d applications : Initiation à LabView : Les exemples d applications : c) Type de variables : Créer un programme : Exemple 1 : Calcul de c= 2(a+b)(a-3b) ou a, b et c seront des réels. «Exemple1» nom du programme : «Exemple

Plus en détail

Système binaire. Algèbre booléenne

Système binaire. Algèbre booléenne Algèbre booléenne Système binaire Système digital qui emploie des signaux à deux valeurs uniques En général, les digits employés sont 0 et 1, qu'on appelle bits (binary digits) Avantages: on peut utiliser

Plus en détail

Ce document décrit la démarche à suivre pour installer les outils de développement et compiler le projet TANAGRA.

Ce document décrit la démarche à suivre pour installer les outils de développement et compiler le projet TANAGRA. Guide de compilation de TANAGRA Ce document décrit la démarche à suivre pour installer les outils de développement et compiler le projet TANAGRA. Remarque : La version de TANAGRA distribuée sur le site

Plus en détail

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE ARDUINO DOSSIER RESSOURCE POUR LA CLASSE Sommaire 1. Présentation 2. Exemple d apprentissage 3. Lexique de termes anglais 4. Reconnaître les composants 5. Rendre Arduino autonome 6. Les signaux d entrée

Plus en détail

Organisation des Ordinateurs

Organisation des Ordinateurs Organisation des Ordinateurs Bernard Boigelot E-mail : boigelot@montefiore.ulg.ac.be URL : http://www.montefiore.ulg.ac.be/~boigelot/ http://www.montefiore.ulg.ac.be/~boigelot/cours/org/ 1 Chapitre 1 Les

Plus en détail

Introduction à la présentation graphique avec xmgrace

Introduction à la présentation graphique avec xmgrace Chapitre 6 Introduction à la présentation graphique avec xmgrace Contenu 6.1 Avant-propos....................... 71 6.2 Faire un simple graphe................. 72 6.3 Un graphe avec plusieurs courbes...........

Plus en détail

Comment insérer une image de fond?

Comment insérer une image de fond? COMMUNICATION VISUELLE Mise en page à l aide d un traitement de texte Comment insérer une image de fond? Image Plein papier ou au Format utile qu est-ce que cela veut dire? La mise en page d un document

Plus en détail

MPI Activité.10 : Logique binaire Portes logiques

MPI Activité.10 : Logique binaire Portes logiques MPI Activité.10 : Logique binaire Portes logiques I. Introduction De nombreux domaines font appel aux circuits logiques de commutation : non seulement l'informatique, mais aussi les technologies de l'asservissement

Plus en détail

ndv access point : Utilisation

ndv access point : Utilisation NAP10-MU-121207-fr ndv access point : Utilisation www.neocoretech.com ndv AP Plus qu un simple protocole de déport d affichage, ndv AP est une solution complète pour vos points d accès offrant l affichage

Plus en détail

F7n COUP DE BOURSE, NOMBRE DÉRIVÉ

F7n COUP DE BOURSE, NOMBRE DÉRIVÉ Auteur : S.& S. Etienne F7n COUP DE BOURSE, NOMBRE DÉRIVÉ TI-Nspire CAS Mots-clés : représentation graphique, fonction dérivée, nombre dérivé, pente, tableau de valeurs, maximum, minimum. Fichiers associés

Plus en détail

Programme d Accès Communautaire / Atelier 4 Initiation à Microsoft Excel PLAN DE COURS 3 MICROSOFT EXCEL 4 LANCER EXCEL 4

Programme d Accès Communautaire / Atelier 4 Initiation à Microsoft Excel PLAN DE COURS 3 MICROSOFT EXCEL 4 LANCER EXCEL 4 TABLE DES MATIÈRES PLAN DE COURS 3 MICROSOFT EXCEL 4 LANCER EXCEL 4 LE COMPAGNON OFFICE 4 Masquage ou affichage du Compagnon Office 4 Sélection d un autre Compagnon 4 APPRIVOISER EXCEL 5 Exercice no 1

Plus en détail

Mes premiers diaporamas avec Open Office Impress?

Mes premiers diaporamas avec Open Office Impress? Mes premiers diaporamas avec Open Office Impress? Courage! Tu vas y arriver 1 Sommaire I. Les bons gestes avant de lancer Open Office (page 3) II. Créer un dossier Open Office. (page 4) III. Les 5 zones

Plus en détail

PRISE EN MAIN D UN TABLEUR. Version OPEN OFFICE

PRISE EN MAIN D UN TABLEUR. Version OPEN OFFICE PRISE EN MAIN D UN TABLEUR Version OPEN OFFICE Prise en main d un tableur page 2 1. L utilisation de la souris Pour faire fonctionner un tableur, on utilise le clavier mais aussi la souris. Rappelons,

Plus en détail

Le langage SQL Rappels

Le langage SQL Rappels Le langage SQL Rappels Description du thème : Présentation des principales notions nécessaires pour réaliser des requêtes SQL Mots-clés : Niveau : Bases de données relationnelles, Open Office, champs,

Plus en détail

L ÉDITEUR DE COMPOSANTS A PROPOS DE LA TRADUCTION.

L ÉDITEUR DE COMPOSANTS A PROPOS DE LA TRADUCTION. PRISE EN MAIN DE FRITZING (2) LA CRÉATION DE COMPOSANTS 2007-2010 University of Applied Sciences Potsdam Site Internet de Fritzing : http://fritzing.org/ Traduction française : Yves MERGY A PROPOS DE LA

Plus en détail

Calc 2 Avancé. OpenOffice.org. Guide de formation avec exercices et cas pratiques. Philippe Moreau

Calc 2 Avancé. OpenOffice.org. Guide de formation avec exercices et cas pratiques. Philippe Moreau OpenOffice.org Calc 2 Avancé Guide de formation avec exercices et cas pratiques Philippe Moreau Tsoft et Groupe Eyrolles, 2007, ISBN : 2-212-12036-2, ISBN 13 : 978-2-212-12036-3 4 - Plages de données 4

Plus en détail

Manuel d utilisation 26 juin 2011. 1 Tâche à effectuer : écrire un algorithme 2

Manuel d utilisation 26 juin 2011. 1 Tâche à effectuer : écrire un algorithme 2 éducalgo Manuel d utilisation 26 juin 2011 Table des matières 1 Tâche à effectuer : écrire un algorithme 2 2 Comment écrire un algorithme? 3 2.1 Avec quoi écrit-on? Avec les boutons d écriture........

Plus en détail

I. Programmation I. 1 Ecrire un programme en Scilab traduisant l organigramme montré ci-après (on pourra utiliser les annexes):

I. Programmation I. 1 Ecrire un programme en Scilab traduisant l organigramme montré ci-après (on pourra utiliser les annexes): Master Chimie Fondamentale et Appliquée : spécialité «Ingénierie Chimique» Examen «Programmation, Simulation des procédés» avril 2008a Nom : Prénom : groupe TD : I. Programmation I. 1 Ecrire un programme

Plus en détail

Créer un tableau avec LibreOffice / Calc

Créer un tableau avec LibreOffice / Calc Créer un tableau avec LibreOffice / Calc Réaliser des tableaux LibreOffice / Calc permet de créer des tableaux facilement en utilisant les cellules. En premier lieu, il faut prévoir le nombre de colonnes

Plus en détail

ADÉQUATION ALGORITHME-ARCHITECTURE APPLIQUÉE AUX CIRCUITS RECONFIGURABLES

ADÉQUATION ALGORITHME-ARCHITECTURE APPLIQUÉE AUX CIRCUITS RECONFIGURABLES ADÉQUATION ALGORITHME-ARCHITECTURE APPLIQUÉE AUX CIRCUITS RECONFIGURABLES AILTON F. DIAS, MOHAMED AKIL, CHRISTOPHE LAVARENNE, YVES SOREL CNEN/CDTN Divisão de Computação e Informação, CP 941-012-970 Belo

Plus en détail

Traitement des données avec Microsoft EXCEL 2010

Traitement des données avec Microsoft EXCEL 2010 Traitement des données avec Microsoft EXCEL 2010 Vincent Jalby Septembre 2012 1 Saisie des données Les données collectées sont saisies dans une feuille Excel. Chaque ligne correspond à une observation

Plus en détail

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE I/ GÉNÉRALITÉS I.1/ Fonction Un compteur binaire est utilisé : -pour compter un certain nombre d'évènements binaires -pour diviser la fréquence d'un signal logique par 2 m Page 1 FONCTION COMPTAGE BINAIRE

Plus en détail

1 CRÉER UN TABLEAU. IADE Outils et Méthodes de gestion de l information

1 CRÉER UN TABLEAU. IADE Outils et Méthodes de gestion de l information TP Numéro 2 CRÉER ET MANIPULER DES TABLEAUX (Mise en forme, insertion, suppression, tri...) 1 CRÉER UN TABLEAU 1.1 Présentation Pour organiser et présenter des données sous forme d un tableau, Word propose

Plus en détail

Enregistreur sans papier. Interface LON. B 95.5010.2.1 Description des interfaces 10.99/00370156

Enregistreur sans papier. Interface LON. B 95.5010.2.1 Description des interfaces 10.99/00370156 Enregistreur sans papier Interface LON B 95.5010.2.1 Description des interfaces 10.99/00370156 Sommaire 1 Introduction 3 1.1 Préambule... 3 1.2 Conventions typographiques... 4 1.2.1 Avertissement... 4

Plus en détail

Systèmes de transmission

Systèmes de transmission Systèmes de transmission Conception d une transmission série FABRE Maxime 2012 Introduction La transmission de données désigne le transport de quelque sorte d'information que ce soit, d'un endroit à un

Plus en détail

Tutoriel. Votre site web en 30 minutes

Tutoriel. Votre site web en 30 minutes Tutoriel Votre site web en 30 minutes But du tutoriel Nous allons vous présenter comment réaliser rapidement votre site avec Web Creator Pro 6 en vous basant sur l utilisation des modèles fournis avec

Plus en détail

CARPE. Documentation Informatique S E T R A. Version 2.00. Août 2013. CARPE (Documentation Informatique) 1

CARPE. Documentation Informatique S E T R A. Version 2.00. Août 2013. CARPE (Documentation Informatique) 1 CARPE (Documentation Informatique) 1 CARPE Version 2.00 Août 2013 Documentation Informatique S E T R A Programme CARPE - Manuel informatique de l'utilisateur CARPE (Documentation Informatique) 2 Table

Plus en détail

Création de mises en plan

Création de mises en plan Création de mises en plan Numéro de publication spse01545 Création de mises en plan Numéro de publication spse01545 Avertissement sur les droits de propriété et les droits réservés Ce logiciel et la documentation

Plus en détail

GUIDE Excel (version débutante) Version 2013

GUIDE Excel (version débutante) Version 2013 Table des matières GUIDE Excel (version débutante) Version 2013 1. Créer un nouveau document Excel... 3 2. Modifier un document Excel... 3 3. La fenêtre Excel... 4 4. Les rubans... 4 5. Saisir du texte

Plus en détail

TD de supervision. J.P. Chemla. Polytech Tours Département productique 2ème année

TD de supervision. J.P. Chemla. Polytech Tours Département productique 2ème année TD de supervision J.P. Chemla Polytech Tours Département productique 2ème année 1 Présentation de l équipement On veut superviser une cuve dans un batiment. Les informations à visualiser sont les suivantes

Plus en détail

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique Architecture d ordinateur : introduction Dimitri Galayko Introduction à l informatique, cours 1 partie 2 Septembre 2014 Association d interrupteurs: fonctions arithmétiques élémentaires Elément «NON» Elément

Plus en détail

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE QCM Remarque : - A une question correspond au moins 1 réponse juste - Cocher la ou les bonnes réponses Barème : - Une bonne réponse = +1 - Pas de réponse = 0

Plus en détail

26 Centre de Sécurité et de

26 Centre de Sécurité et de 26 Centre de Sécurité et de Maintenance La fenêtre du Centre de sécurité et de maintenance (CSM) rassemble tous les outils nécessaires au contrôle, à l analyse, à la maintenance, à la sauvegarde et au

Plus en détail

SOMMAIRE. Présentation assistée sur ordinateur. Collège F.Rabelais 1/10

SOMMAIRE. Présentation assistée sur ordinateur. Collège F.Rabelais 1/10 /0 SOMMAIRE. Lancer le logiciel. Mettre un titre dans une diapositive et du texte. Ajouter une diapositive 4. Créer une diapositive avec une image 5. Créer une diapositive avec un graphique 6. Créer une

Plus en détail

IV- Comment fonctionne un ordinateur?

IV- Comment fonctionne un ordinateur? 1 IV- Comment fonctionne un ordinateur? L ordinateur est une alliance du hardware (le matériel) et du software (les logiciels). Jusqu à présent, nous avons surtout vu l aspect «matériel», avec les interactions

Plus en détail

Aide à l Utilisation du site «Mon Monitoring»

Aide à l Utilisation du site «Mon Monitoring» Aide à l Utilisation du site «Mon Monitoring» Mise à jour Aout 2013 Identifiant :... Mot de passe :.. Lorsque vous mettez les colliers aux animaux prenez garde à la petite flèche gravée (colorée en noir

Plus en détail

Guide de démarrage Janvier 2012

Guide de démarrage Janvier 2012 Guide de démarrage Janvier 2012 2012 Logicim Inc. Tous droits réservés. Table des Matières Table des Matières i Guide de Démarrage 1 Introduction 1 Respecter l ordre de la formation 1 Ouvrir XLGL 1 Différentes

Plus en détail

INSERER DES OBJETS - LE RUBAN INSERTION... 3 TABLEAUX

INSERER DES OBJETS - LE RUBAN INSERTION... 3 TABLEAUX TABLE DES MATIERES Livret Utilisateur Excel 2007 Niveau 2 INSERER DES OBJETS - LE RUBAN INSERTION... 3 TABLEAUX... 4 Les tableaux croisés dynamiques... 4 Création d un tableau croisé... 5 Comparer des

Plus en détail

GUIDE D UTILISATION DE L ISU SEPTEMBRE 2013 GUIDE D UTILISATION DU NAVIGATEUR UIS.STAT (VERSION BÊTA)

GUIDE D UTILISATION DE L ISU SEPTEMBRE 2013 GUIDE D UTILISATION DU NAVIGATEUR UIS.STAT (VERSION BÊTA) GUIDE D UTILISATION DE L ISU SEPTEMBRE 2013 GUIDE D UTILISATION DU NAVIGATEUR UIS.STAT (VERSION BÊTA) Publié en 2013 par : Institut de statistiques de l UNESCO C.P. 6128, Succursale Centre-Ville Montréal,

Plus en détail

Utiliser Dev-C++ .1Installation de Dev-C++ Table des matières

Utiliser Dev-C++ .1Installation de Dev-C++ Table des matières Utiliser Dev-C++ Voici quelques explications sur l utilisation de Dev-C++, un excellent environnement de développement en C et C++ pour Windows qu on peut télécharger librement depuis le site www.bloodshed.net

Plus en détail

BIRT (Business Intelligence and Reporting Tools)

BIRT (Business Intelligence and Reporting Tools) BIRT (Business Intelligence and Reporting Tools) Introduction Cette publication a pour objectif de présenter l outil de reporting BIRT, dans le cadre de l unité de valeur «Data Warehouse et Outils Décisionnels»

Plus en détail

EXCEL PERFECTIONNEMENT SERVICE INFORMATIQUE. Version 1.0 30/11/05

EXCEL PERFECTIONNEMENT SERVICE INFORMATIQUE. Version 1.0 30/11/05 EXCEL PERFECTIONNEMENT Version 1.0 30/11/05 SERVICE INFORMATIQUE TABLE DES MATIERES 1RAPPELS...3 1.1RACCOURCIS CLAVIER & SOURIS... 3 1.2NAVIGUER DANS UNE FEUILLE ET UN CLASSEUR... 3 1.3PERSONNALISER LA

Plus en détail

1 Architecture du cœur ARM Cortex M3. Le cœur ARM Cortex M3 sera présenté en classe à partir des éléments suivants :

1 Architecture du cœur ARM Cortex M3. Le cœur ARM Cortex M3 sera présenté en classe à partir des éléments suivants : GIF-3002 SMI et Architecture du microprocesseur Ce cours discute de l impact du design du microprocesseur sur le système entier. Il présente d abord l architecture du cœur ARM Cortex M3. Ensuite, le cours

Plus en détail

Études et Réalisation Génie Électrique

Études et Réalisation Génie Électrique Université François-Rabelais de Tours Institut Universitaire de Technologie de Tours Département Génie Électrique et Informatique Industrielle Études et Réalisation Génie Électrique Chargeur de batterie

Plus en détail

Série D65/D75/D72 Afficheurs digitaux modulaires

Série D65/D75/D72 Afficheurs digitaux modulaires Série D65/D75/D72 Afficheurs digitaux modulaires Afficheurs digitaux modulaires Afficheurs digitaux individuels La série D65/D75/D72 représente une vaste gamme de modules d affichage numériques, hexadécimaux

Plus en détail

Administration du site (Back Office)

Administration du site (Back Office) Administration du site (Back Office) A quoi sert une interface d'administration? Une interface d'administration est une composante essentielle de l'infrastructure d'un site internet. Il s'agit d'une interface

Plus en détail

MANUEL D UTILISATION DE LA SALLE DES MARCHES APPEL D OFFRES OUVERT ACCES ENTREPRISES. Version 8.2

MANUEL D UTILISATION DE LA SALLE DES MARCHES APPEL D OFFRES OUVERT ACCES ENTREPRISES. Version 8.2 MANUEL D UTILISATION DE LA SALLE DES MARCHES APPEL D OFFRES OUVERT ACCES ENTREPRISES Version 8.2 Vous allez utiliser les services en ligne de la plate forme de dématérialisation de la Salle des Marchés

Plus en détail

Your Detecting Connection. Manuel de l utilisateur. support@xchange2.net

Your Detecting Connection. Manuel de l utilisateur. support@xchange2.net Your Detecting Connection Manuel de l utilisateur support@xchange2.net 4901-0128-5 ii Table des matières Table des matières Installation... 4 Conditions d utilisation de XChange 2...4 Définir vos Préférences

Plus en détail

SHERLOCK 7. Version 1.2.0 du 01/09/09 JAVASCRIPT 1.5

SHERLOCK 7. Version 1.2.0 du 01/09/09 JAVASCRIPT 1.5 SHERLOCK 7 Version 1.2.0 du 01/09/09 JAVASCRIPT 1.5 Cette note montre comment intégrer un script Java dans une investigation Sherlock et les différents aspects de Java script. S T E M M E R I M A G I N

Plus en détail

Les calques : techniques avancées

Les calques : techniques avancées Les calques : techniques avancées 9 Au cours de cette leçon, vous apprendrez à : importer un calque d un autre fichier ; créer un masque d écrêtage ; créer et modifier un calque de réglage ; employer les

Plus en détail

Formation. Module WEB 4.1. Support de cours

Formation. Module WEB 4.1. Support de cours Formation Module WEB 4.1 Support de cours Rédacteur Date de rédaction F.CHEA 08/02/2012 Les informations contenues dans ce document pourront faire l'objet de modifications sans préavis Sauf mention contraire,

Plus en détail

AssetCenter Notes de version

AssetCenter Notes de version Peregrine AssetCenter Notes de version PART NUMBER AC-4.1.0-FRE-01015-00189 AssetCenter Copyright 2002 Peregrine Systems, Inc. Tous droits réservés. Les informations contenues dans ce document sont la

Plus en détail

TP1 Initiation à la conception de circuits intégrés analogiques.

TP1 Initiation à la conception de circuits intégrés analogiques. CAO TP1 Initiation Cadence 2015 2016 IC 615 / AMS 4.1 1 TP1 Initiation à la conception de circuits intégrés analogiques. L objectif de ce document est de présenter de façon succincte les principales fonctionnalités

Plus en détail

Sélection du contrôleur

Sélection du contrôleur Démo CoDeSys - 1 - 1. Configuration de l environnement de travail : Lancer le logiciel CoDeSys Fichier Nouveau Lors de la première utilisation, une boîte de dialogue apparaît permettant la sélection du

Plus en détail

Création et utilisation de formulaire pdf

Création et utilisation de formulaire pdf Création et utilisation de formulaire pdf Grâce à Adobe Acrobat, il est plus facile de créer, de remplir et d envoyer des formulaires électroniques PDF. Vous pouvez concevoir et créer un formulaire complètement

Plus en détail

QUESTION 1 {2 points}

QUESTION 1 {2 points} ELE4301 Systèmes logiques II Page 1 de 8 QUESTION 1 {2 points} En se servant de paramètres électriques donnés dans le Tableau 1 ci-dessous, on désire déterminer la fréquence d opération du compteur présenté

Plus en détail

Prise en main rapide

Prise en main rapide Prise en main rapide 4 Dans cette leçon, vous découvrirez les fonctionnalités de création de page web de Dreamweaver et apprendrez à les utiliser dans l espace de travail. Vous apprendrez à : définir un

Plus en détail