Les circuits logiques séquentiels

Dimension: px
Commencer à balayer dès la page:

Download "Les circuits logiques séquentiels"

Transcription

1 Les circuits logiques séquentiels

2 Les registres de mémorisation Un registre permet la mémorisation de n bits. Il est donc constitué de n bascules,mémorisant chacune un bit. L'information est emmagasinée sur un signal de commande et ensuite conservée et disponible en lecture. Entrées Écriture Lecture Sorties Registre de 4 bits commandé par les entrées W et R

3 Les registres à décalage Il existe deux types de transmission : Transmission parallèle : codage spatial. Transmission série : codage temporel. Les registres à décalage permettent de passer d un codage à l autre. Dans un registre à décalage les bascules sont interconnectées de façon à ce que l'état logique de la bascule de rang i puisse être transmis à la bascule de rang i+ quand un signal d'horloge est appliqué à l'ensemble des bascules. L'information peut être chargée de deux manières dans ce type de registre : Entrée parallèle : comme dans le cas d'un registre de mémorisation. En général une porte d'inhibition est nécessaire pour éviter tout risque de décalage pendant le chargement parallèle. Entrée série : l'information est présentée séquentiellement bit après bit à l'entrée de la première bascule. A chaque signal d'horloge un nouveau bit est introduit pendant que ceux déjà mémorisés sont décalés d'un niveau dans le registre.

4 Les registres à décalage Entrée. série - Sortie parallèle et série : Démonstration Ce type de registre permet de transformer un codage temporel en un codage spatial. Registre à 0 décalage La sortie série peut également être utilisée. L'intérêt d'utilisation d'un registre à décalage en chargement et lecture série réside dans la possibilité d'avoir des fréquences d'horloge différentes au chargement et à la lecture. Le registre constitue alors un tampon.

5 Les registres à décalage Entrée. parallèle et série - Sortie série : Démonstration Si X = l'entrée parallèle est inhibée et l'entrée série est validée. Si X = 0 l'entrée série est bloquée et les entrées parallèles sont validées. Ce type de registre permet de transformer un codage temporel ou spatial en un codage temporel.

6 Les registres à décalage Entrée parallèle et série - Sortie parallèle et série : Démonstration du 4035B X = 0 Pr = Cr = H = :validée X = H = 0, Cr=E 0, Pr = E 0 Ei E i = 0 = ( Pr =,Cr = 0, ) Qi = ( Pr = 0,Cr =, ) Q = i 0 Q i = E i

7 Les registres à décalage Registre à décalage à droite et à gauche < >

8 Les registres à décalage Les diverses possibilités sont sélectionnées par les lignes commande S 0 et S. Considérons la ligne transportant le signal d'horloge aux bascules, elle est gouvernée par l'expression logique : 0 ( S S ) Clk = H + S S = H + Le signal d'horloge sera donc inhibé si S 0 + S = 0 0 Pour sélectionner le chargement parallèle (entrées A, B, C et D) il faut : S 0 + S = S0 S = S0 = S =. Le chargement parallèle se fait sur un front actif d'horloge.

9 Les registres à décalage Pour sélectionner le décalage à droite (entrée E, sortie Q D ) : S 0 = et S = 0 Pour le décalage à gauche (entrée E 0, sortie Q A ) : S 0 = 0 et S = S 0 S Fonction Registre bloqué Décalage à gauche Décalage à droite Chargement parallèle Rq : un registre à décalage à droite et à gauche permet d'effectuer des multiplications et des divisions entières par des puissances de 2.

10 Les compteurs Un compteur est un ensemble de n bascules interconnectées par des portes logiques. Ils peuvent donc mémoriser des mots de n bits. Au rythme d'une horloge, ils peuvent décrire une séquence déterminée c'est-à-dire occuper une suite d'états binaires et peut représenter au maximum 2 n combinaisons. Ces états restent stables et accessibles entre les impulsions d'horloge. Le nombre total N des combinaisons successives est appelé le modulo du compteur. On a N 2 n. Si N < 2 n un certain nombre d'états sont hors du cycle nominal de fonctionnement. Les compteurs binaires peuvent être classés en deux catégories : les compteurs asynchrones; les compteurs synchrones. De plus on distingue les compteurs réversibles ou compteurs-décompteurs.

11 Les compteurs synchrones Soit un compteur modulo 8 comptant en binaire naturel, nous choisissons un codage permettant de réduire au maximum le CCS : S 2 S S 0 les sorties Q 0, Q et Q 2 fournissent des signaux périodiques de fréquences respectivement 2, 4 et 8 plus faibles que celle de H. La division de fréquence est une des applications des compteurs.

12 Les compteurs synchrones En utilisant des bascules JK comme des bascules T ( J = K ) # Top Q 2 Q Q 0 K 2 =J 2 K =J K 0 =J = K 0 =J 0 = K =J = Q 0 K 2 =J 2 = Q Q 0 En généralisant : K i = J i = Q i-..q 0 Ou K i = J i = J i- Q i- = K i- Q i-

13 Les décompteurs synchrones En utilisant des bascules JK comme des bascules T # Top Q 2 Q Q 0 K 2 =J 2 K =J K 0 =J = K 0 =J 0 = K =J = K 2 =J 2 = Q 0 Q Q 0 En généralisant : K i = J i = Q i-..q 0 Ou K i = J i = J i- Q i-= K i- Q i-

14 Les compteurs synchrones Aux deux manières d'exprimer les relations de récurrence des équations de commutation correspondent deux types de circuits. K i = J i = Q i-..q 0 est dit à report parallèle K i = J i = J i- Q i- est dit à report série évite ainsi des portes à multiples entrées mais limite la fréquence de l horloge!!!! X= 0 => Compteur, X = => Décompteur Compteur Décompteur à report parallèle

15 Les compteurs synchrones Compteur Décompteur à report série Si le temps de propagation d une porte NAND : MAX(T phl, T plh ) = δ s (5 ns pour TTL) T H >>6δ

16 Compteur synchrone Q 3 T Q T Q & T Q & T Q H Q 0 Q Q 2 Compteur synchrone à report série : T min (H) > T p (bascule) + (n-2) * T p (porte ET) Q 3 T Q T Q & T Q & T Q H Q 0 Q Q 2 Compteur synchrone à report parallèle : Tmin(H) > Tp(bascule) + Tp(porte ET)

17 Compteur synchrone Compteur en anneau : rapide (pas de porte) Registre à décalage bouclé H D Q Q 0 D Q Q D Q Q 2 Q Q Q N bascules : plusieurs cycles de comptage Beaucoup de cycles piège : obligation d ajouter une logique pour les casser (cf synthèse synchrone) : moins rapide

18 Compteur synchrone Compteur de Johnson : Le plus rapide (pas de porte) Registre à décalage bouclé par inversion H D Q Q 0 Q Q 2 D Q D Q Q Q Q N bascules : 2 cycles de comptage Un nominal de longueur 2N-2 états Un cycle piège de 2 états

19 Compteur chaîné asynchrone (principe) Cascade de diviseurs de fréquence par deux sur fronts montants. Les bascules n ont plus la même horloge H T Q T Q T Q Décompteur binaire Q 0 Q Q 2 H Q 0 Q Q Structure à éviter car asynchrone

20 Compteur chaîné asynchrone (principe) Cascade de diviseurs de fréquence sur fronts descendants H T 0 Q 0 Q0 T Q T 2 Q 2 Compteur Q Q 2 binaire H Q 0 Q Q Structure à éviter car asynchrone

21 Q Compteur chaîné asynchrone (principe) Accumulation des temps de propagation : baisse de la fréquence Exemple : passage de 7 à 8 H Q 0 t p Q T min (H)> n.t p +marge Q 2 Si n bascules changent d état : n- états transitoires, par contre les excitations des bascules sont synchronisées

22 Les compteurs synchrones (modulo N) Exemple du compteur décimal 0-9 Compteur synchrone 4 bits en binaire naturel 4 bascules JK Si J=K : bascules T Il va compter jusqu à 5! J K SET Q ~Q Q0 SET J Q K ~Q RESET Q 2 & 3 SET J Q K ~Q RESET Q2 4 5 & 6 SET J Q K ~Q RESET Q3 RESET H

23 Les compteurs synchrones (modulo N) Q 3 Q 2 Q Q Bouclage

24 Les compteurs synchrones (modulo N) Remise à l état initial (0000) pour tous les états hors cycle par une action sur le reset des bascules : Synthèse risque minimal (0) () (2) (3) (4) (5) (0) (0) (0) (0) (0) (0) = = = = = = ( 00) (2) ( 0) (2) ( 00) (2) ( 0) (2) ( 0) (2) ( ) (2) Etats hors cycle Q 0 Q Q 2 Q 3 RESET = Q 3 (Q 2 +Q )

25 Compteur synchrone 746 compteur intégré synchrone 4 bits binaire programmable cascadable de la famille TTL (6 broches (pins)) LD E0-3 ENT ENP CP CLR 746 Vcc+Gnd Q0-3 Co CP : horloge (front montant) Q 0-3 : sorties du compteur E 0-3 : entrées parallèles (de prog) CLR : RAZ asynchrone active à 0 LD : charg t parallèle synchrone actif au niveau bas prioritaire sur le comptage ENP/ENT : autorisation de comptage comptage si ENP.ENT= Co : retenue = si Q 3 Q 2 Q Q 0.ENT= (ENT agit sur Co en asynchrone)

26 Compteur synchrone Présentation du 746 ou 7463 Chronogramme ENP ENT CO

27 Compteur synchrone de (A) (2) à (B) (2) Utilisation d un compteur avec chargement parallèle : lorsque le modulo est détecté, on recharge le compteur (entrée prioritaire) (E) (2) H LD Compteur synchrone Détecteur Détection de B Q 3-0 Q 3 Q 2 Q Q 0 & Load Si B = 00 => Load= Q 3-0 = (A) (2)

28 Compteurs synchrones cascadés On dispose de compteurs 4 bits, on veut compter sur N bits (N>4) Exemple (N=2) : Compteur MSB (de 0 à 5) Il faut 3 compteurs 4 bits Le premier compte tout le temps. Le deuxième ne compte que quand le premier est à 5. (le troisième compte quand premier=5 et deuxième=5) Compteur LSB (de 0 à 5) Cascadage des compteurs

29 Compteurs synchrones cascadés 3 techniques dont 2 mauvaises (!!!!) Cascade asynchrone H ENP ENT Co ENP ENT Co ENP ENT Co

30 Compteurs synchrones cascadés Cascade synchrone série : H ENP ENT LSB Co ENP ENT Co Co = Q 3.Q 2.Q.Q 0.ENT ENP ENT Co Période de H : T min (H)> T p (Clk/Co) + 2 T p (Ent/Co)

31 Compteurs synchrones cascadés Cascade synchrone parallèle : ENParallèle et ENThru H ENP ENT Co ENP ENT Co ENP ENT Co Période de H : T min > T p (Clk/Co) + T p (Ent/Co) C est la bonne technique

32 Le pipe line Optimisation de machine séquentielle complexe : L architecture pipeline : Extrait du cours du MIT l09- Entrée : Device : machine à laver Temps : Washer pd = 30 mn Fonction : laver,essorer Sortie : Device : sèche linge Temps : Drier pd = 60 mn Fonction : sécher 32

33 Le pipe line Approche Traditionnelle (one shot) : 33

34 Le pipe line Approche Traditionnelle : 34

35 Le pipe line Approche optimisée (pipeline) : En négligeant les 30 premières minutes Approche traditionnelle : Approche optimisée : Temps de propagation 90 mn 20 mn* Fréquence d obtention d un bac de linge /90mn /60mn * : les changements ont lieu à chaque fin de lavage 35

36 Le pipe line Application aux systèmes logiques séquentielles : T p : temps de propagation du circuit, /T p : fréquence. En posant : Tp(F)=5ns, Tp(G)=20ns, Tp(H)=25ns F et G sont «en attente» (idle) lorsque H établit son niveau Le temps de propagation de ce circuit est de 45ns 36

37 Le pipe line Positionnons des bascules synchrones à la sortie de chaque partie : F et G peuvent traiter X i+ alors que H traite les sorties F(X i ) et G(X i ) contenu dans les bascules. Pipeline à 2 étages Temps de propagation Fréquence Approche traditionnelle : 45 ns /45 ns Approche optimisée : 50 ns* /25 ns * : l élément le plus lent fixe la fréquence de l horloge 37

38 Le pipe line Diagramme d un pipeline : Clock Etage du pipelin ne Temps de chargement du pipeline Propagation en diagonale des signaux dans le diagramme 38

39 Le pipe line Application aux traitement des instructions : L exécution schématique d une instruction est la suivante : Fetch, Decode, Execute, Save. Chargement d une instruction de la mémoire (fetch : chercher), 2. Analyse l'instruction (decode : ), 3. Exécution de l instruction (execute : ) 4. Sauvegarde du résultat (save : ) Le nombre d étape peut varier en fonction de l architecture de processeur

40 Le pipe line Pipeline sur une machine de Harvard : Temps de Traitement d une instruction Clock Traditionnel Instruction : Instruction 2 : IF RO EX WB IF RO EX WB Pipe line Instruction : Instruction 2 : Instruction 3 : Instruction 4 : IF RO EX WB IF RO EX WB IF RO EX WB IF RO EX WB Les inconvénients : Programmation plus complexe de la structure, Gestion des interruptions (charge et décharge du pipeline), Erreur d exécution (pipeline hazard, boucle, ) 40

41 Les mémoires Les mémoires : Une mémoire est un dispositif de stockage de l information. Chaque information stockée est appelée «donnée» (data). Comme il est possible de stocker plusieurs données dans une mémoire, il est nécessaire d organiser le stockage de celle-ci. Pour cela, on attribut une adresse à chaque case mémoire. De même, on utilise un signal de validation du circuit mémoire. Sélection (Chip select) Donnée (m bits) Mémoire Commande (écriture ou/et lecture) Adresse ( n bits)

42 Les mémoires SM : activation de la bascule sinon état Hiz Bus Adresse Validation du circuit Lecture Bus de Données Écriture

43 Les mémoires : organisation Schéma du décodeur d adresse 4 mots mémoire = 4 portes ET

44 Les mémoires : organisation Une mémoire contenant 52 mots de 4 bits possède 52 portes ET pour réaliser le décodeur Largeur du bus de données (n) et d adresse (k) : n=4 et k=9 (2 9 =52) Organisation bidimensionnelle : matrice de 64 lignes et 32 colonnes (8*4 bits) 64*8=52 6 bits = 64 ET bit Au final : 64 ET 4 (8 ET+ OU) 00 portes logiques 3 bits 8 ET OU 8 ET OU 8 ET OU 8 ET OU MUX Multiplexeur 8 vers pour le choix du bit à lire Donnée 4 bits

45 Les mémoires : organisation Nombre de portes en fonction de la géométrie Représentation carrée donne la plus grande économie Les mêmes lignes d'adresse peuvent être utilisées pour identifier successivement la ligne puis la colonne. Cela permet de réduire le nombre de broches de connexion, donc l'encombrement et le coût des circuits. Cependant cela demande environ deux fois plus de temps par transmettre l'adresse complète. Si on cherche à accéder à des informations stockées dans une même ligne il peut être possible de définir une fois la ligne, puis pour chaque mot de n'avoir à envoyer que l'adresse de la colonne.

46 Les mémoires : organisation Si on cherche à accéder à des informations stockées dans une même ligne il peut être possible de définir une fois la ligne, puis pour chaque mot de n'avoir à envoyer que l'adresse de la colonne. CAS RAR Adresse Ligne (k) Adresse Colonne (k) Data (k) Adresse Colonne (k+) Data(k+) Temps d accès data(k) Temps d accès data(k)

47 Les mémoires : organisation Augmentation du nombre de mots De même la figure suivante montre la réalisation d'un bloc de 4 x 2k mots de n bits à l'aide de 4 boîtiers de 2k x n bits. Il nous faut k+2 lignes d'adresse. Les k bits de bas poids de l'adresse sont appliqués simultanément sur les 4 boîtiers. Les deux bits de poids forts attaquent un décodeur à quatre sorties. Chacune de ces quatre lignes permet de sélectionner un boîtier (entrée de validation du boîtier : CS). Un seul boîtier est alors connecté aux lignes de sortie.

48 Les mémoires : organisation Augmentation de la longueur des mots La figure suivante montre qu'il est aisé d'associer deux boîtiers de 2k mots de n bits pour obtenir un bloc de 2k mots de 2 n bits. L'adressage doit être appliqué simultanément aux deux circuits, l'un fournissant les n bits de bas poids et l'autre les n bits de haut poids. 2n

49 Gestion d une pile On veut concevoir une pile de 4 éléments de 3 bits. Il s agit d une machine logique synchrone dans laquelle on peut insérer ou extraire un élément. Il s agit d une mémoire qualifiée de LIFO (Last Input, First Output) : on ne peut extraire de la pile que la dernière donnée à avoir été mémorisée. Outre une horloge H, le circuit comporte 3 entrées : E2EE0 : entrée sur 3 bits que l on souhaite insérer dans la pile. W : entrée sur bit qui, lorsqu elle est à, indique que l on veut insérer la valeur présente sur E2EE0 au prochain front d horloge. R : entrée sur bit qui, lorsqu elle est à, indique de l on veut extraire, au prochain front d horloge, le dernier élément inséré dans la pile. Il possède 3 sorties : S2SS0 : valeur d un élément extrait P : sortie sur bit qui est à quand la pile est pleine (4 éléments insérés et non extraits) V : sortie sur bit qui est à quand la pile est vide (tous les éléments insérés par le passé ont été extraits). Si l on essaie d insérer un élément quand la pile est pleine ou d extraire un élément lorsque la pile est vide, il ne se passe rien. De plus, on considère que la combinaison W=R= est interdite. Pour réaliser ce circuit, on suppose que l on dispose des composants suivants (dont on ne demande pas le schéma) : De mémoires contenant 4 mots de 3 bits. o entrée horloge o entrée E2-0 : valeur de la donnée à mémoriser o entrée X2-0 : adresse de la donnée à mémoriser. o sortie S2-0 : valeur contenue dans la mémoire à l adresse X2-0. o entrée :load : commande de mémorisation si load=, la valeur en entrée est mémorisée sur un front montant d horloge à l adresse X2-0. un compteur/décompteur 2 bits en binaire naturel. o entrée horloge o entrée : c+. Lorsque cette entrée est à, la valeur du compteur est incrémentée au prochain front montant de l horloge. o entrée : c-. Lorsque cette entrée est à, la valeur du compteur est décrémentée au prochain front montant de l horloge. o entrée init : cette entrée asynchrone, active à l état bas, permet d initialiser la valeur du compteur à QQ0=00. o sorties NN0: valeur du compteur.

50 logigramme +V c cr R & 0 V ec + H Q Q 0 H E 2-0 & c - & P V W X X 0 H S 2-0

51 Gestion d une pile Analyse de machine séquentielle : K 0 =J 0 = : Bascule T K =J = C Q0 C Q + 0 = C Q + C Q 0 = C + Q0 + C + Q 0 Q + = J Q + KQ = J Q

52 Gestion d une pile C + C - Q Q 0 K Q + Q /

53 Gestion d une pile Porte ET sur C- en NAND K 0 =J 0 = K =J = : Bascule T C + Q0 + C Q C+ Q0 C Q0 = 0 C + C - Q Q 0 K Q + Q

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE I/ GÉNÉRALITÉS I.1/ Fonction Un compteur binaire est utilisé : -pour compter un certain nombre d'évènements binaires -pour diviser la fréquence d'un signal logique par 2 m Page 1 FONCTION COMPTAGE BINAIRE

Plus en détail

IFT1215 Introduction aux systèmes informatiques

IFT1215 Introduction aux systèmes informatiques Introduction aux circuits logiques de base IFT25 Architecture en couches Niveau 5 Niveau 4 Niveau 3 Niveau 2 Niveau Niveau Couche des langages d application Traduction (compilateur) Couche du langage d

Plus en détail

VIII- Circuits séquentiels. Mémoires

VIII- Circuits séquentiels. Mémoires 1 VIII- Circuits séquentiels. Mémoires Maintenant le temps va intervenir. Nous avions déjà indiqué que la traversée d une porte ne se faisait pas instantanément et qu il fallait en tenir compte, notamment

Plus en détail

QUESTION 1 {2 points}

QUESTION 1 {2 points} ELE4301 Systèmes logiques II Page 1 de 8 QUESTION 1 {2 points} En se servant de paramètres électriques donnés dans le Tableau 1 ci-dessous, on désire déterminer la fréquence d opération du compteur présenté

Plus en détail

SUR MODULE CAMÉRA C38A (OV7620)

SUR MODULE CAMÉRA C38A (OV7620) Applications maquette d'étude EP10K20 DÉMULTIPLEXEUR BT.656 SUR MODULE CAMÉRA C38A OV7620 SCHÉMAS ET DESCRIPTIONS AHDL 1. Schéma principal Le démultiplexeur proprement dit est la fonction "Decod_BT656_1".

Plus en détail

Les fonctions logiques

Les fonctions logiques 1 Les fonctions logiques Le fonctionnement des ordinateurs tout comme d autres appareils électroniques repose sur l emploi des circuits électroniques de logique binaire ou électronique numérique. Dans

Plus en détail

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits Architecture des ordinateurs TD1 - Portes logiques et premiers circuits 1 Rappel : un peu de logique Exercice 1.1 Remplir la table de vérité suivante : a b a + b ab a + b ab a b 0 0 0 1 1 0 1 1 Exercice

Plus en détail

Manipulations du laboratoire

Manipulations du laboratoire Manipulations du laboratoire 1 Matériel Les manipulations de ce laboratoire sont réalisées sur une carte électronique comprenant un compteur 4-bit asynchrone (74LS93) avec possibilité de déclenchement

Plus en détail

Logique séquentielle

Logique séquentielle Bascules et logique séquentielle aniel Etiemble de@lri.fr Logique séquentielle Logique séquentielle Le système a des «états» ans un système séquentiel Éléments de mémorisation Les sorties dépendent des

Plus en détail

Chapitre 4 : Les mémoires

Chapitre 4 : Les mémoires 1. Introduction: Chapitre 4 : Les mémoires Nous savons que dans un ordinateur toutes les informations : valeur numérique, instruction, adresse, symbole (chiffre, lettre,... etc.) sont manipulées sous une

Plus en détail

Logique binaire. Aujourd'hui, l'algèbre de Boole trouve de nombreuses applications en informatique et dans la conception des circuits électroniques.

Logique binaire. Aujourd'hui, l'algèbre de Boole trouve de nombreuses applications en informatique et dans la conception des circuits électroniques. Logique binaire I. L'algèbre de Boole L'algèbre de Boole est la partie des mathématiques, de la logique et de l'électronique qui s'intéresse aux opérations et aux fonctions sur les variables logiques.

Plus en détail

Architecture des ordinateurs

Architecture des ordinateurs Architecture des ordinateurs Cours 4 5 novembre 2012 Archi 1/22 Micro-architecture Archi 2/22 Intro Comment assembler les différents circuits vus dans les cours précédents pour fabriquer un processeur?

Plus en détail

I- Définitions des signaux.

I- Définitions des signaux. 101011011100 010110101010 101110101101 100101010101 Du compact-disc, au DVD, en passant par l appareil photo numérique, le scanner, et télévision numérique, le numérique a fait une entrée progressive mais

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot et Sébastien Viardot Année universitaire 2011-2012 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 C12 Codage des

Plus en détail

Université de La Rochelle. Réseaux TD n 6

Université de La Rochelle. Réseaux TD n 6 Réseaux TD n 6 Rappels : Théorème de Nyquist (ligne non bruitée) : Dmax = 2H log 2 V Théorème de Shannon (ligne bruitée) : C = H log 2 (1+ S/B) Relation entre débit binaire et rapidité de modulation :

Plus en détail

Modules d automatismes simples

Modules d automatismes simples Modules d automatismes simples Solutions pour automatiser Modules d'automatismes Enfin, vraiment simple! Un concentré de solution Pour vos petites applications d'automatismes millenium gère : Temporisations

Plus en détail

J AUVRAY Systèmes Electroniques TRANSMISSION DES SIGNAUX NUMERIQUES : SIGNAUX EN BANDE DE BASE

J AUVRAY Systèmes Electroniques TRANSMISSION DES SIGNAUX NUMERIQUES : SIGNAUX EN BANDE DE BASE RANSMISSION DES SIGNAUX NUMERIQUES : SIGNAUX EN BANDE DE BASE Un message numérique est une suite de nombres que l on considérera dans un premier temps comme indépendants.ils sont codés le plus souvent

Plus en détail

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview.

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. Sciences et Technologies de l Industrie et du Développement Durable Formation des enseignants parcours : ET24 Modèle de

Plus en détail

Fiche technique CPU 314SC/DPM (314-6CG13)

Fiche technique CPU 314SC/DPM (314-6CG13) Fiche technique CPU 314SC/DPM (3146CG13) Données techniques N de commande 3146CG13 Type CPU 314SC/DPM Information générale Note Caractéristiques SPEEDBus Technologie SPEED7 24 x DI, 16 x DO, 8 x DIO, 4

Plus en détail

REALISATION d'un. ORDONNANCEUR à ECHEANCES

REALISATION d'un. ORDONNANCEUR à ECHEANCES REALISATION d'un ORDONNANCEUR à ECHEANCES I- PRÉSENTATION... 3 II. DESCRIPTION DU NOYAU ORIGINEL... 4 II.1- ARCHITECTURE... 4 II.2 - SERVICES... 4 III. IMPLÉMENTATION DE L'ORDONNANCEUR À ÉCHÉANCES... 6

Plus en détail

Projet Matlab : un logiciel de cryptage

Projet Matlab : un logiciel de cryptage Projet Matlab : un logiciel de cryptage La stéganographie (du grec steganos : couvert et graphein : écriture) consiste à dissimuler une information au sein d'une autre à caractère anodin, de sorte que

Plus en détail

Tout savoir sur le matériel informatique

Tout savoir sur le matériel informatique Tout savoir sur le matériel informatique Thème de l exposé : Les Processeurs Date : 05 Novembre 2010 Orateurs : Hugo VIAL-JAIME Jérémy RAMBAUD Sommaire : 1. Introduction... 3 2. Historique... 4 3. Relation

Plus en détail

Fiche technique CPU 315SN/PN (315-4PN33)

Fiche technique CPU 315SN/PN (315-4PN33) Fiche technique CPU 315SN/PN (315-4PN33) Données techniques N de commande 315-4PN33 Information générale Note - Caractéristiques SPEED-Bus - Données techniques de l'alimentation Alimentation (valeur nominale)

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot Année universitaire 2014-2015 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 Codage des nombres en base 2, logique

Plus en détail

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007 Introduction à l architecture des ordinateurs Adrien Lebre Décembre 2007 Plan - partie 1 Vue d ensemble La carte mère Le processeur La mémoire principal Notion de bus Introduction à l architecture des

Plus en détail

CONVERTISSEURS NA ET AN

CONVERTISSEURS NA ET AN Convertisseurs numériques analogiques (xo Convertisseurs.doc) 1 CONVTIU NA T AN NOT PLIMINAI: Tous les résultats seront exprimés sous formes littérales et encadrées avant les applications numériques. Les

Plus en détail

ELP 304 : Électronique Numérique. Cours 1 Introduction

ELP 304 : Électronique Numérique. Cours 1 Introduction ELP 304 : Électronique Numérique Cours 1 Introduction Catherine Douillard Dépt Électronique Les systèmes numériques : généralités (I) En électronique numérique, le codage des informations utilise deux

Plus en détail

Cours de Systèmes d Exploitation

Cours de Systèmes d Exploitation Licence d informatique Synchronisation et Communication inter-processus Hafid Bourzoufi Université de Valenciennes - ISTV Introduction Les processus concurrents s exécutant dans le système d exploitation

Plus en détail

Cours Informatique 1. Monsieur SADOUNI Salheddine

Cours Informatique 1. Monsieur SADOUNI Salheddine Cours Informatique 1 Chapitre 2 les Systèmes Informatique Monsieur SADOUNI Salheddine Un Système Informatique lesystème Informatique est composé de deux parties : -le Matériel : constitué de l unité centrale

Plus en détail

GPA770 Microélectronique appliquée Exercices série A

GPA770 Microélectronique appliquée Exercices série A GPA770 Microélectronique appliquée Exercices série A 1. Effectuez les calculs suivants sur des nombres binaires en complément à avec une représentation de 8 bits. Est-ce qu il y a débordement en complément

Plus en détail

La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA)

La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA) La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA) I. L'intérêt de la conversion de données, problèmes et définitions associés. I.1. Définitions:

Plus en détail

SYSTEME DE TELESURVEILLANCE VIDEO

SYSTEME DE TELESURVEILLANCE VIDEO SYSTEME DE TELESURVEILLANCE VIDEO Avril - Juin 98 Résumé des auteurs : Auteurs : Bertrand LASSERRE Responsables : P. KADIONIK Y. BERTHOUMIEU Nombre de pages : 68 Nombre de pages «Annexe» : 0 Remerciements

Plus en détail

TD Architecture des ordinateurs. Jean-Luc Dekeyser

TD Architecture des ordinateurs. Jean-Luc Dekeyser TD Architecture des ordinateurs Jean-Luc Dekeyser Fiche 1 Nombres de l informatique Exercice 1 Une entreprise désire réaliser la sauvegarde de ses données sur un site distant. Le volume de données à sauvegarder

Plus en détail

INTRODUCTION AUX SYSTEMES D EXPLOITATION. TD2 Exclusion mutuelle / Sémaphores

INTRODUCTION AUX SYSTEMES D EXPLOITATION. TD2 Exclusion mutuelle / Sémaphores INTRODUCTION AUX SYSTEMES D EXPLOITATION TD2 Exclusion mutuelle / Sémaphores Exclusion mutuelle / Sémaphores - 0.1 - S O M M A I R E 1. GENERALITES SUR LES SEMAPHORES... 1 1.1. PRESENTATION... 1 1.2. UN

Plus en détail

INITIATION AU LANGAGE C SUR PIC DE MICROSHIP

INITIATION AU LANGAGE C SUR PIC DE MICROSHIP COURS PROGRAMMATION INITIATION AU LANGAGE C SUR MICROCONTROLEUR PIC page 1 / 7 INITIATION AU LANGAGE C SUR PIC DE MICROSHIP I. Historique du langage C 1972 : naissance du C dans les laboratoires BELL par

Plus en détail

IV- Comment fonctionne un ordinateur?

IV- Comment fonctionne un ordinateur? 1 IV- Comment fonctionne un ordinateur? L ordinateur est une alliance du hardware (le matériel) et du software (les logiciels). Jusqu à présent, nous avons surtout vu l aspect «matériel», avec les interactions

Plus en détail

Transmissions série et parallèle

Transmissions série et parallèle 1. Introduction : Un signal numérique transmet généralement plusieurs digits binaires. Exemple : 01000001 ( huit bits). Dans une transmission numérique on peut envisager deux modes : les envoyer tous en

Plus en détail

Système binaire. Algèbre booléenne

Système binaire. Algèbre booléenne Algèbre booléenne Système binaire Système digital qui emploie des signaux à deux valeurs uniques En général, les digits employés sont 0 et 1, qu'on appelle bits (binary digits) Avantages: on peut utiliser

Plus en détail

Exécution des instructions machine

Exécution des instructions machine Exécution des instructions machine Eduardo Sanchez EPFL Exemple: le processeur MIPS add a, b, c a = b + c type d'opération (mnémonique) destination du résultat lw a, addr opérandes sources a = mem[addr]

Plus en détail

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE QCM Remarque : - A une question correspond au moins 1 réponse juste - Cocher la ou les bonnes réponses Barème : - Une bonne réponse = +1 - Pas de réponse = 0

Plus en détail

Livret - 1. Informatique : le matériel. --- Ordinateur, circuits, codage, système, réseau. Cours informatique programmation.

Livret - 1. Informatique : le matériel. --- Ordinateur, circuits, codage, système, réseau. Cours informatique programmation. Livret - 1 Informatique : le matériel --- Ordinateur, circuits, codage, système, réseau. RM di scala Cours informatique programmation Rm di Scala - http://www.discala.net SOMMAIRE Introduction 2 Notations

Plus en détail

Recueil d'exercices de logique séquentielle

Recueil d'exercices de logique séquentielle Recueil d'exercices de logique séquenielle Les bascules: / : Bascule JK Bascule D. Expliquez commen on peu modifier une bascule JK pour obenir une bascule D. 2/ Eude d un circui D Q Q Sorie A l aide d

Plus en détail

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE ARDUINO DOSSIER RESSOURCE POUR LA CLASSE Sommaire 1. Présentation 2. Exemple d apprentissage 3. Lexique de termes anglais 4. Reconnaître les composants 5. Rendre Arduino autonome 6. Les signaux d entrée

Plus en détail

DU BINAIRE AU MICROPROCESSEUR - D ANGELIS CIRCUITS CONFIGURABLES NOTION DE PROGRAMMATION

DU BINAIRE AU MICROPROCESSEUR - D ANGELIS CIRCUITS CONFIGURABLES NOTION DE PROGRAMMATION 145 NOTION DE PROGRAMMATION 1/ Complétons notre microprocesseur Nous avons, dans les leçons précédentes décrit un microprocesseur théorique, cependant il s inspire du 6800, premier microprocesseur conçu

Plus en détail

Vers l'ordinateur quantique

Vers l'ordinateur quantique Cours A&G Vers l'ordinateur quantique Données innies On a vu dans les chapîtres précédents qu'un automate permet de représenter de manière nie (et même compacte) une innité de données. En eet, un automate

Plus en détail

6.5.2 Reset_Scénario... 11 6.5.3 Démarrage_Zibase... 11 6.6 Mise sous alarme, surveillance... 11 6.6.1 Eclairage Allée Temp... 11 6.6.

6.5.2 Reset_Scénario... 11 6.5.3 Démarrage_Zibase... 11 6.6 Mise sous alarme, surveillance... 11 6.6.1 Eclairage Allée Temp... 11 6.6. Table des matières 1. Définition des besoins... 4 1.1 Monitoring... 4 1.2 Actions... 4 1.3 Alertes... 4 2. Modules et capteurs actuels... 4 2.1 Les actionneurs type lampes... 4 2.2 Les actionneurs type

Plus en détail

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique Architecture d ordinateur : introduction Dimitri Galayko Introduction à l informatique, cours 1 partie 2 Septembre 2014 Association d interrupteurs: fonctions arithmétiques élémentaires Elément «NON» Elément

Plus en détail

Représentation d un entier en base b

Représentation d un entier en base b Représentation d un entier en base b 13 octobre 2012 1 Prérequis Les bases de la programmation en langage sont supposées avoir été travaillées L écriture en base b d un entier est ainsi défini à partir

Plus en détail

Licence Sciences et Technologies Examen janvier 2010

Licence Sciences et Technologies Examen janvier 2010 Université de Provence Introduction à l Informatique Licence Sciences et Technologies Examen janvier 2010 Année 2009-10 Aucun document n est autorisé Les exercices peuvent être traités dans le désordre.

Plus en détail

Cours de Programmation en Langage Synchrone SIGNAL. Bernard HOUSSAIS IRISA. Équipe ESPRESSO

Cours de Programmation en Langage Synchrone SIGNAL. Bernard HOUSSAIS IRISA. Équipe ESPRESSO Cours de Programmation en Langage Synchrone SIGNAL Bernard HOUSSAIS IRISA. Équipe ESPRESSO 24 septembre 2004 TABLE DES MATIÈRES 3 Table des matières 1 Introduction 5 1.1 La Programmation Temps Réel.........................

Plus en détail

Initiation au HPC - Généralités

Initiation au HPC - Généralités Initiation au HPC - Généralités Éric Ramat et Julien Dehos Université du Littoral Côte d Opale M2 Informatique 2 septembre 2015 Éric Ramat et Julien Dehos Initiation au HPC - Généralités 1/49 Plan du cours

Plus en détail

Patentamt JEuropaisches. European Patent Office Numéro de publication: 0 1 1 0 7 6 7 Office européen des brevets DEMANDE DE BREVET EUROPEEN

Patentamt JEuropaisches. European Patent Office Numéro de publication: 0 1 1 0 7 6 7 Office européen des brevets DEMANDE DE BREVET EUROPEEN Patentamt JEuropaisches European Patent Office Numéro de publication: 0 1 1 0 7 6 7 Office européen des brevets ^ DEMANDE DE BREVET EUROPEEN Numéro de dépôt: 83402232.9 @ Int. Cl.3: G 06 F 7/52 Date de

Plus en détail

Les opérations binaires

Les opérations binaires Les opérations binaires Compétences associées A2 : Analyser et interpréter une information numérique Objectifs Etre capable: - De coder les nombres entiers en code complément à 2. - De résoudre les opérations

Plus en détail

MANUEL D UTILISATION TERMINAL PHL 2700

MANUEL D UTILISATION TERMINAL PHL 2700 MANUEL D UTILISATION TERMINAL PHL 2700 XL Soft - 16 Bd Charles de Gaulle Parc d'affaires les Moulinets- Bât C 44800 SAINT HERBLAIN Tel.: 02 51 80 77 88 Fax: 02 51 80 77 87 www.xlsoft.fr SOMMAIRE 1) Présentation

Plus en détail

ASR1 TD7 : Un microprocesseur RISC 16 bits

ASR1 TD7 : Un microprocesseur RISC 16 bits {Â Ö Ñ º ØÖ Ý,È ØÖ ºÄÓ Ù,Æ ÓÐ ºÎ ÝÖ Ø¹ ÖÚ ÐÐÓÒ} Ò ¹ÐÝÓÒº Ö ØØÔ»»Ô Ö Óº Ò ¹ÐÝÓÒº Ö» Ö Ñ º ØÖ Ý»¼ Ö½» ASR1 TD7 : Un microprocesseur RISC 16 bits 13, 20 et 27 novembre 2006 Présentation générale On choisit

Plus en détail

Initiation à LabView : Les exemples d applications :

Initiation à LabView : Les exemples d applications : Initiation à LabView : Les exemples d applications : c) Type de variables : Créer un programme : Exemple 1 : Calcul de c= 2(a+b)(a-3b) ou a, b et c seront des réels. «Exemple1» nom du programme : «Exemple

Plus en détail

VRM Monitor. Aide en ligne

VRM Monitor. Aide en ligne VRM Monitor fr Aide en ligne VRM Monitor Table des matières fr 3 Table des matières 1 Introduction 3 2 Vue d'ensemble du système 3 3 Getting started 4 3.1 Démarrage de VRM Monitor 4 3.2 Démarrage de Configuration

Plus en détail

CONFIGURATION DE L AUTOMATE SIEMENS

CONFIGURATION DE L AUTOMATE SIEMENS CONFIGURATION DE L AUTOMATE SIEMENS Créer un projet Dans le bureau de Windows, double-cliquer sur l icône «SIMATIC Manager» : Cliquer ensuite sur l icône «nouveau» : Choisir un nom de projet et valider

Plus en détail

On distingue deux grandes catégories de mémoires : mémoire centrale (appelée également mémoire interne)

On distingue deux grandes catégories de mémoires : mémoire centrale (appelée également mémoire interne) Mémoire - espace destiné a recevoir, conserver et restituer des informations à traiter - tout composant électronique capable de stocker temporairement des données On distingue deux grandes catégories de

Plus en détail

Comme chaque ligne de cache a 1024 bits. Le nombre de lignes de cache contenu dans chaque ensemble est:

Comme chaque ligne de cache a 1024 bits. Le nombre de lignes de cache contenu dans chaque ensemble est: Travaux Pratiques 3. IFT 1002/IFT 1005. Structure Interne des Ordinateurs. Département d'informatique et de génie logiciel. Université Laval. Hiver 2012. Prof : Bui Minh Duc. Tous les exercices sont indépendants.

Plus en détail

DM 1 : Montre Autoquartz ETA

DM 1 : Montre Autoquartz ETA Lycée Masséna DM 1 : Montre Autoquartz ETA 1 Présentation de la montre L essor de l électronique nomade s accompagne d un besoin accru de sources d énergies miniaturisées. Les contraintes imposées à ces

Plus en détail

RESUME DE COURS ET CAHIER D'EXERCICES

RESUME DE COURS ET CAHIER D'EXERCICES ARCITECTURE INFO-UP REUME DE COUR ET CAIER D'EXERCICE EPITA F. GABON Architecture EPITA INFO-UP F. Gabon COUR LIVRE D ARCITECTURE 3 REUME D'ELECTRONIUE LOGIUE 4 YTEME DE NUMERATION 6 ALGEBRE DE BOOLE 6

Plus en détail

Fonctionnement et performance des processeurs

Fonctionnement et performance des processeurs Fonctionnement et performance des processeurs Eric Cariou Université de Pau et des Pays de l'adour Département Informatique Eric.Cariou@univ-pau.fr 1 Plan Fonctionnement des processeurs Unités de calcul

Plus en détail

Spécifications Techniques d Interface

Spécifications Techniques d Interface 67, Édition 2 / Octobre 2000 Spécifications Techniques d Interface SRXUOHUpVHDXGH)UDQFH7pOpFRP 'LUHFWLYH&( &DUDFWpULVWLTXHVGHVLQWHUIDFHVG DFFqV DXVHUYLFH7UDQVIL[0pWURSROLWDLQ 5pVXPp Ce document présente

Plus en détail

Ordinateurs, Structure et Applications

Ordinateurs, Structure et Applications Ordinateurs, Structure et Applications Cours 19, Le USB Etienne Tremblay Université Laval, Hiver 2012 Cours 19, p.1 USB signifie Universal Serial Bus USB Le USB a été conçu afin de remplacer le port série

Plus en détail

Info0101 Intro. à l'algorithmique et à la programmation. Cours 3. Le langage Java

Info0101 Intro. à l'algorithmique et à la programmation. Cours 3. Le langage Java Info0101 Intro. à l'algorithmique et à la programmation Cours 3 Le langage Java Pierre Delisle, Cyril Rabat et Christophe Jaillet Université de Reims Champagne-Ardenne Département de Mathématiques et Informatique

Plus en détail

Initiation à la programmation en Python

Initiation à la programmation en Python I-Conventions Initiation à la programmation en Python Nom : Prénom : Une commande Python sera écrite en caractère gras. Exemples : print 'Bonjour' max=input("nombre maximum autorisé :") Le résultat de

Plus en détail

Infos. Indicateurs analogiques encastrables pour installation à courants forts. Série M W/P/ LSP BWQ BGQ TP TG WQ /0S WQ /2S FQ /2 W BI BIW DFQ

Infos. Indicateurs analogiques encastrables pour installation à courants forts. Série M W/P/ LSP BWQ BGQ TP TG WQ /0S WQ /2S FQ /2 W BI BIW DFQ Infos Série M 200.U.003.05 encastrables pour installation à courants forts Série M W/P/ LSP pour montage sur rail normé BWQ BGQ TP TG WQ /0S WQ /2S FQ /2 W BI BIW SY Compteurs horaires Voltmètres partiels

Plus en détail

Capacité étendue d utilisation en réseau

Capacité étendue d utilisation en réseau Fiche technique n VIBOFF_1A_f Emonitor Odyssey introduit une nouvelle conception de la maintenance conditionnelle (prédictive) en automatisant les opérations de routine afin d améliorer les vitesses de

Plus en détail

Concevoir son microprocesseur

Concevoir son microprocesseur Concevoir son microprocesseur structure des systèmes logiques Jean-Christophe Buisson Collection Technosup Ellipses Avant-propos Ce livre s adresse aux étudiants en informatique de licence et maîtrise,

Plus en détail

Matériel & Logiciels (Hardware & Software)

Matériel & Logiciels (Hardware & Software) CHAPITRE 2 HARDWARE & SOFTWARE P. 1 Chapitre 2 Matériel & Logiciels (Hardware & Software) 2.1 Matériel (Hardware) 2.1.1 Présentation de l'ordinateur Un ordinateur est un ensemble de circuits électronique

Plus en détail

Conversion d un entier. Méthode par soustraction

Conversion d un entier. Méthode par soustraction Conversion entre bases Pour passer d un nombre en base b à un nombre en base 10, on utilise l écriture polynomiale décrite précédemment. Pour passer d un nombre en base 10 à un nombre en base b, on peut

Plus en détail

Codage d information. Codage d information : -Définition-

Codage d information. Codage d information : -Définition- Introduction Plan Systèmes de numération et Représentation des nombres Systèmes de numération Système de numération décimale Représentation dans une base b Représentation binaire, Octale et Hexadécimale

Plus en détail

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd UE 503 L3 MIAGE Initiation Réseau et Programmation Web La couche physique A. Belaïd abelaid@loria.fr http://www.loria.fr/~abelaid/ Année Universitaire 2011/2012 2 Le Modèle OSI La couche physique ou le

Plus en détail

La norme Midi et JavaSound

La norme Midi et JavaSound La norme Midi et JavaSound V 1.0-14.2.2006 (update Fev. 07) Jacques Ferber LIRMM - Université Montpellier II 161 rue Ada 34292 Montpellier Cedex 5 Email: ferber@lirmm.fr Home page: www.lirmm.fr/~ferber

Plus en détail

UE Programmation Impérative Licence 2ème Année 2014 2015

UE Programmation Impérative Licence 2ème Année 2014 2015 UE Programmation Impérative Licence 2 ème Année 2014 2015 Informations pratiques Équipe Pédagogique Florence Cloppet Neilze Dorta Nicolas Loménie prenom.nom@mi.parisdescartes.fr 2 Programmation Impérative

Plus en détail

Acquisition et conditionnement de l information Les capteurs

Acquisition et conditionnement de l information Les capteurs Acquisition et conditionnement de l information Les capteurs COURS 1. Exemple d une chaîne d acquisition d une information L'acquisition de la grandeur physique est réalisée par un capteur qui traduit

Plus en détail

Architecture des ordinateurs Introduction à l informatique

Architecture des ordinateurs Introduction à l informatique Architecture des ordinateurs Introduction à l informatique 17 septembre 2004 1 2 3 4 5 6 Les interrupteurs... 0V 5V Ce sont des composants électroniques qui laissent pser un courant principal lorsque la

Plus en détail

Systemes d'exploitation des ordinateurs

Systemes d'exploitation des ordinateurs ! " #$ % $ &' ( $ plan_ch6_m1 Systemes d'exploitation des ordinateurs Conception de Systèmes de Gestion de la Mémoire Centrale Objectifs 1. Conception de systèmes paginés 2. Conception des systèmes segmentés

Plus en détail

Manuel installateur XT200i

Manuel installateur XT200i Préambule Description La centrale XT200i est un système d alarme sans fil, fonctionnant sur piles, elle est principalement destinée aux marchés du résidentiel, des petits commerces et des ouvrages extérieurs.

Plus en détail

CENTRAL TELEPHONIQUE ANALOGIQUE 3 LIGNES 12 POSTES autocommutateur téléphone SELECTEUR FAX TELEPHONE. Ref 3L12PF = VIP312

CENTRAL TELEPHONIQUE ANALOGIQUE 3 LIGNES 12 POSTES autocommutateur téléphone SELECTEUR FAX TELEPHONE. Ref 3L12PF = VIP312 CENTRAL TELEPHONIQUE ANALOGIQUE 3 LIGNES 12 POSTES autocommutateur téléphone SELECTEUR FAX TELEPHONE Sommaire Caractéristiques de l appareil Installation A lire attentivement avant installation Allumage

Plus en détail

SIN-FPGA DESCRIPTION PAR SCHEMA

SIN-FPGA DESCRIPTION PAR SCHEMA SIN-FPGA DESCRIPTION PAR SCHEMA Documents ressources: http://www.altera.com/literature/lit-index.html Introduction to Quartus II : intro_to_quartus2.pdf Documentation QUARTUS II : quartusii_handbook.pdf

Plus en détail

Extrait des Exploitations Pédagogiques

Extrait des Exploitations Pédagogiques Pédagogiques Module : Compétitivité et créativité CI Première : Compétitivité et créativité CI institutionnel : Développement durable et compétitivité des produits Support : Robot - O : Caractériser les

Plus en détail

MICROCONTROLEURS PIC PROGRAMMATION EN C. V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44

MICROCONTROLEURS PIC PROGRAMMATION EN C. V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44 MICROCONTROLEURS PIC PROGRAMMATION EN C V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44 Chapitre 1 GENERALITES 1 DEFINITION Un microcontrôleur est un microprocesseur RISC (Reduced Instruction Set

Plus en détail

Exécutif temps réel Pierre-Yves Duval (cppm)

Exécutif temps réel Pierre-Yves Duval (cppm) Exécutif temps réel Pierre-Yves Duval (cppm) Ecole d informatique temps réel - La Londes les Maures 7-11 Octobre 2002 Plan Exécutif Tâches Evénements et synchronisation Partage de ressources Communications

Plus en détail

Organisation des Ordinateurs

Organisation des Ordinateurs Organisation des Ordinateurs Bernard Boigelot E-mail : boigelot@montefiore.ulg.ac.be URL : http://www.montefiore.ulg.ac.be/~boigelot/ http://www.montefiore.ulg.ac.be/~boigelot/cours/org/ 1 Chapitre 1 Les

Plus en détail

Mesure de performances. [Architecture des ordinateurs, Hennessy & Patterson, 1996]

Mesure de performances. [Architecture des ordinateurs, Hennessy & Patterson, 1996] Mesure de performances [Architecture des ordinateurs, Hennessy & Patterson, 1996] Croissance des performances des microprocesseurs Avant le milieu des années 80, le gain dépendait de la technologie. Après,

Plus en détail

ALGORITHMIQUE II NOTION DE COMPLEXITE. SMI AlgoII

ALGORITHMIQUE II NOTION DE COMPLEXITE. SMI AlgoII ALGORITHMIQUE II NOTION DE COMPLEXITE 1 2 Comment choisir entre différents algorithmes pour résoudre un même problème? Plusieurs critères de choix : Exactitude Simplicité Efficacité (but de ce chapitre)

Plus en détail

Licence ST Université Claude Bernard Lyon I LIF1 : Algorithmique et Programmation C Bases du langage C 1 Conclusion de la dernière fois Introduction de l algorithmique générale pour permettre de traiter

Plus en détail

Proteus Design Suite V7 Instruments virtuels

Proteus Design Suite V7 Instruments virtuels Proteus Design Suite V7 Instruments virtuels Le modèle d oscilloscope virtuel...2 Généralités...2 Utilisation de l oscilloscope...2 Le modèle d analyseur logique...5 Généralités...5 Utilisation de l analyseur

Plus en détail

Programmation en langage C d un µcontrôleur PIC à l aide du compilateur C-CCS Sommaire

Programmation en langage C d un µcontrôleur PIC à l aide du compilateur C-CCS Sommaire Programmation en langage C d un µcontrôleur PIC à l aide du compilateur C-CCS CCS Sommaire Généralités sur le langage. 2 Structure d un programme en C.. 3 Les constantes et équivalences.. 4 Les variables...

Plus en détail

Conception et réalisation d'une pédale MIDI

Conception et réalisation d'une pédale MIDI Conception et réalisation d'une pédale MIDI Origine et historique du projet: Plusieurs dizaines de lycéens de Porto-Vecchio adhèrent au club musique du foyer socio-éducatif et se retrouvent à l'heure du

Plus en détail

TVD 03 GSM - Transmetteur Téléphonique Vocal

TVD 03 GSM - Transmetteur Téléphonique Vocal Transmetteur téléphonique vocal GSM TVD 03 - GSM Version 1.0-A ZI Sud Est Tel : 02.99.85.28.28 15 Rue des Veyettes Fax : 02.99.85.28.29 CS 76326 35063 RENNES CEDEX E.mail:info@sodis-france.fr TVD 03 GSM

Plus en détail

PIC 16F84. Philippe Hoppenot

PIC 16F84. Philippe Hoppenot Département GEII PIC 16F84 Philippe Hoppenot hoppenot@lsc.univ-evry.fr http://lsc.univ-evry.fr/~hoppenot/presentationfrancaise.html Ce cours sur le PIC est dispensé en licence professionnelle Concepteurs

Plus en détail

1 Architecture du cœur ARM Cortex M3. Le cœur ARM Cortex M3 sera présenté en classe à partir des éléments suivants :

1 Architecture du cœur ARM Cortex M3. Le cœur ARM Cortex M3 sera présenté en classe à partir des éléments suivants : GIF-3002 SMI et Architecture du microprocesseur Ce cours discute de l impact du design du microprocesseur sur le système entier. Il présente d abord l architecture du cœur ARM Cortex M3. Ensuite, le cours

Plus en détail

Introduction à l informatique temps réel Pierre-Yves Duval (cppm)

Introduction à l informatique temps réel Pierre-Yves Duval (cppm) Introduction à l informatique temps réel Pierre-Yves Duval (cppm) Ecole d informatique temps réel - La Londes les Maures 7-11 Octobre 2002 -Définition et problématique - Illustration par des exemples -Automatisme:

Plus en détail

Cours 1 : Introduction Ordinateurs - Langages de haut niveau - Application

Cours 1 : Introduction Ordinateurs - Langages de haut niveau - Application Université de Provence Licence Math-Info Première Année V. Phan Luong Algorithmique et Programmation en Python Cours 1 : Introduction Ordinateurs - Langages de haut niveau - Application 1 Ordinateur Un

Plus en détail

3.2. Matlab/Simulink. 3.2.1. Généralités

3.2. Matlab/Simulink. 3.2.1. Généralités 3.2. Matlab/Simulink 3.2.1. Généralités Il s agit d un logiciel parfaitement dédié à la résolution de problèmes d'analyse numérique ou de traitement du signal. Il permet d'effectuer des calculs matriciels,

Plus en détail

2.1 Le point mémoire statique Le point mémoire statique est fondé sur le bistable, dessiné de manière différente en Figure 1.

2.1 Le point mémoire statique Le point mémoire statique est fondé sur le bistable, dessiné de manière différente en Figure 1. Mémoires RAM 1. LOGIUE STATIUE ET LOGIUE DYNAMIUE Le point mémoire est l élément de base, capable de mémoriser un bit. Il y a deux approches possibles. L approche statique est fondée sur la l'utilisation

Plus en détail