SUPPORT DE COURS SYSTEMES LOGIQUES

Dimension: px
Commencer à balayer dès la page:

Download "SUPPORT DE COURS SYSTEMES LOGIQUES"

Transcription

1 Ministères de l enseignement supérieur Institut Supérieur des Etudes Technologiques de Gabès Année Universitaire SUPPORT DE COURS SYSTEMES LOGIUES TAYARI LASSAAD TECHNOLOGUE A lassaad.tayari@isetn.rnu.tn

2 Chapitre I Introduction à l informatique industrielle et aux automatismes I/ Les automatismes industriels: Automatiser une tâche consiste à enchaîner les diverses opérations nécessaires à sa réalisation en limitant au maximum l intervention d un opérateur. Les systèmes automatisés envahissent notre environnement aussi bien dans le domaine domestique que dans le domaine du travail: - Dans le domaine domestique, on utilise des automatismes peu complexes: * machine à laver, * appareils de chauffage munis d une régulation de température, * téléviseur avec possibilité de programmation. - Dans le domaine industriel: * appareillage destiné à remplacer l opérateur humain appelé ROBOT, * machines-outils à commande numérique. Le programme d informatique industrielle est divisé en 3 parties: - théories et matériels nécessaires pour concevoir et réaliser les automatismes numériques de type câblés (systèmes logiques), - systèmes logiques programmés (microprocesseurs), - automates programmables industriels. M.TAYARI Lassaad Page /59 Chapitre

3 II/ Architecture d un automatisme: - Décomposition d un système automatisé: - microprocesseur - API - capteurs intelligents Partie Commande (Automatisme) O r d r e s Etat du processus Informations Partie Opérative (Processus) Eléments fonctionnels de l application: - actionneurs - moteurs - éléments à commander 2- Informations manipulées par un automatisme: Ces informations sont fournies par des CAPTEURS dont la fonction est de traduire les grandeurs physiques (température, pression, vitesse,...) généralement par une grandeur électrique. On utilise deux types d informations appelées Information Analogique et Information Digitale ou Numérique. Informations Analogiques: une information analogique est transportée par un signal électrique continu (tension ou courant) dont elle modifie l une des caractéristiques (exemple son amplitude ou sa fréquence); la variation d un signal est de ce fait ANALOGUE à celle de l information, d où son nom. Informations Numériques: Ces informations ne peuvent prendre qu un nombre limité de valeurs choisies dans un ensemble prédéfini (informations à valeurs discrètes). C est une information qui présente le caractère binaire, ses 2 valeurs possibles (états) sont conventionnellement repérées par les chiffres et ; elle se présente sous différents aspects suivant le moyen de transport utilisé: Support Optique Support Electrique Support Pneumatique Présence/absence d un faisceau lumineux Consignes Interface Opérateur - afficheurs - écran vidéo - lampes Tension de valeur V ou V2 (exemple V ou 5V) Pression de valeur P ou P2 dans un vérin 3- Définitions générales des systèmes logiques: a/ Proposition logique: La synthèse d un système logique commence par la traduction du cahier des charges (description des spécifications techniques et opérationnelles de l appareillage) en un ensemble de propositions logiques simples qui présentent le caractère VRAI ou FAUX. M.TAYARI Lassaad Page 2/59 Chapitre

4 Ces propositions sont ensuite liées entre elles par des conjonctions: ET, OU qui expriment leurs conditions d existence simultanée ou disjointe. Exemple: «Description du fonctionnement d une alarme» Soit la liste des propositions simples suivantes: P: secteur coupé P2: alarme branchée P3: porte ouverte P4: fenêtre ouverte P5: temporisation écoulée SYSTEME LOGIUE DE CONTRÔLE DE L ALARME P2 Alarme Select P P5 P3 P4 P, P2, P3, P4 et P5 sont des interrupteurs. * La proposition logique «Déclenchement Alarme» s exprime par le cahier des charges: - l alarme se déclenche si (le secteur n est pas coupé) ET si (l alarme est branchée) ET si [(la porte) OU (la fenêtre) sont ouvertes] ET si (la temporisation est écoulée). * En introduisant les symboles Pi: Alarme = (NON P) ET (P2) ET (P3 OU P4) ET (P5) * En notation d algèbre binaire, la fonction logique qui exprime le déclenchement de l alarme a pour expression: Alarme = P.P2.(P3+P4).P5 b/ Les systèmes combinatoires: On appelle «système logique combinatoire» un système dont l état de la sortie ne dépend que de la combinaison des valeurs des variables d entrée. E E E2 E3 Systèmes Logiques Combinatoires F(E,E,E2,E3) M.TAYARI Lassaad Page 3/59 Chapitre

5 La fonction logique est l expression mathématique qui décrit la relation entre la sortie et les entrées du système combinatoire. Exemple: - pour 2 entrées, on a 4 valeurs possibles:,, et, - pour n entrées: on a 2 n combinaisons possibles. c/ Les systèmes séquentiels: On appelle «système logique séquentiel», un système dont l état de la sortie à l instant (t+) dépend: - de la combinaison des valeurs des variables d entrée, - de l état qu elle avait juste avant modification des variables d entrée (S t ). Variables Primaires E E E2 Circuits Combinatoires Eléments Mémoires S n+ (E,E,E2,S n ) S' n+ (E,E,E2,S' n ) Variables S n Secondaires S' n d/ Synthèse d un système logique combinatoire: La conception d un système logique se fait en 2 étapes: * L étude fonctionnelle: consiste à traduire le cahier des charges en propositions logiques et par suite à établir les fonctions logiques qui décrivent le fonctionnement. Pour cette étude, on utilise l Algèbre de Boole. * La phase pratique qui consiste à matérialiser la fonction par assemblage de circuits intégrés logiques en prenant compte de 2 types de contraintes: - technologiques (nature des signaux utilisés: tension, intensité, puissance,..), - économiques: coût, maintenance,.. M.TAYARI Lassaad Page 4/59 Chapitre

6 Système de numération et codage de l information Chapitre II: I- Systèmes de numération: L ensemble des outils informatiques sont basés sur les mêmes principes de calcul (loi de tout ou rien). Les calculs habituels sont effectués dans le système de numération décimal, par contre le calculateur électronique ne peut pas utiliser ce système car le circuit électronique ne permet pas de distinguer états. Le système de numération binaire ne comportera que 2 états et. / Système décimal: (base : éléments de à 9) - Exemples: 987 = ,45 = / Système binaire: (base 2: 2 éléments et => 2 bits) Conversion binaire-décimal: - Exemple n : (2) Bits Puissance Pondération Somme des pondérations: = 45 donc : (2) = 45 () - Exemple n 2:, (2) Bits Puissance Pondération 8 2,25,25 Somme des pondérations: 8+2++,25+,25 =,375 donc :, (2) =,375 () Conversion décimal-binaire: M.TAYARI Lassaad Page 5/59 Chapitre 2

7 - Exemple n : 49 () =? (2) uotient Reste(/2) Donc: 49 () = (2) - Exemple n 2 :,4375 () =? (2) 2,4375 * 2 2 -,875 * 2 2-2,75,75 * 2 2-3,5,5 * 2 2-4, Donc:,4375 () =, (2) 3/ Système octal: (base 8: 8 éléments de à 7) Conversion octal-décimal: - Exemple: 476 (8) = = = 38 () Conversion décimal-octal: M.TAYARI Lassaad Page 6/59 Chapitre 2

8 On a 2 méthodes: «passage par la base 2» ou «divisions successives par 8» Octal Binaire Exemple: 928 () =? (8) 928() = (2) = 6 4 (8) Ou bien: uotient Reste (/8) Donc: 928 () = 64 (8) Vérification: 64 (8) = = = 928 () 4/ Système hexadécimal: (base 6: 6 éléments,..9,a,b,c,d,e et F) Conversion hexadécimal-décimal: - Exemple: 4CA2 (6) =? () 4CA2 (6) = = = 968 () Conversion décimal-hexadécimal: M.TAYARI Lassaad Page 7/59 Chapitre 2

9 On a 2 méthodes: «passage par la base 2» ou «divisions successives par 6» Base Base 6 Base A B 2 C 3 D 4 E 5 F - Exemple: 469 () =? (6) uotient Reste (/6) (D) Donc: 469 () = D5 (6) Conversion hexadécimal-octal: (Passage par la base 2) - Exemple: AF9,D (6) =? () AF9,D (6) =, (2) =, (2) = 5 3 7,6 4 2 (8) M.TAYARI Lassaad Page 8/59 Chapitre 2

10 II- Notions de codage: Un dispositif logique ou numérique est destiné à manipuler des informations diverses qui doivent être traduites par un ensemble de et, obtenu suivant une loi de correspondance préétablie: c est l opération de codage de l information. Codage (loi de correspondance) Information {Configuration binaire} Exemples de codes: * Code ASCII: chaque touche du clavier est codée sur 8 bits, donc on peut coder 256 caractères. Exemple: Touche A ==> code ASCII?? * Code DCB (Décimal Codée en Binaire): utilisé uniquement pour les chiffres décimaux. Ce code est obtenu en remplaçant individuellement chacun des chiffres du nombre à représenter par son équivalent binaire pur. Exemple: 2458 () = (DCB) - Avantages: Représentation plus simple et très utile pour les systèmes d affichage à 7 segments. - Inconvénient: nécessite plus de bits. M.TAYARI Lassaad Page 9/59 Chapitre 2

11 Chapitre III: Algèbre De Boole Et Portes Logiques Introduction: Historique: - L algèbre binaire résulte des travaux du mathématicien Georges BOOLE qui a développé au 9ème siècle une algèbre logique portant sur des variables qui ne peuvent prendre qu un nombre fini d états. - Son application, limitée aux variables et fonctions à caractère binaire, est attribuée à Claude SHANNON (travaux publiés en 938). Définition: L algèbre de Boole est l outil mathématique qui permet d établir la relation entre les sorties et les entrées d un système logique (synthèse du système). Réciproquement, cet outil nous permet de déterminer les règles de fonctionnement d un système logique existant (analyse du système). * Les opérateurs élémentaires de l algèbre sont matérialisés par des systèmes physiques: optiques, pneumatiques ou électriques. En technologie électronique: - les variables logiques sont généralement des signaux «bi-tension», - les opérateurs logiques sont des circuits électroniques appelés «portes logiques». I- Variables et fonctions logiques: / Variables logiques: Une variable ne peut prendre que 2 valeurs notées et, qui représentent l état d un système bistable générateur de la variable physique. Exemple : - Présence ou absence de la lumière pour un système d éclairage public. - Ouverture ou fermeture d un interrupteur 2/ Fonctions logiques: - Le fonctionnement d un système logique est décrit par une ou plusieurs propositions logiques simples qui présentent le caractère binaire «VRAI» ou «FAUX». M.TAYARI Lassaad Page /59 Chapitre 3

12 - La relation sorties-entrées appelée fonction de transfert du système est décrite par une ou plusieurs fonctions logiques qui traduisent algébriquement les propositions logiques. - Une fonction logique qui prend les valeurs ou peut être considérée comme une variable binaire pour une autre fonction logique. Exemple: c b a Circuit Logique F (c,b) Circuit Logique F 2 (F,a) = F 2 (c,b,a) - Pour décrire le fonctionnement d un système en cherchant l état que doit prendre la sortie pour toutes les combinaisons possibles des entrées, on utilisera ce qu on appelle «la table de vérité». II- Les opérations fondamentales de l algèbre de Boole et les propriétés associées: Opération logique Addition Multiplication Inversion Opérateur logique OU ET NON Table de vérité A B A OU B A B A ET B A NON A Notation algébrique A OU B= A+B A ET B= A.B NON A = A Postulats: - Sur une seule variable: Opérateur OU Opérateur ET A+A = A A.A = A A+ = A. = A A+ = A A. = A+ A = A. A = Elément neutre = Elément neutre = M.TAYARI Lassaad Page /59 Chapitre 3

13 - Sur plusieurs variables: Commutativité : A+B = B+A A.B = B.A Associativité : (A+B)+C = A+(B+C) = A+B+C (A.B).C = A.(B.C) = A.B.C Distributivité : A.(B+C) = A.B+A.C A+B.C = (A+B).(A+C) Théorèmes: - De MORGAN : A B = A. B A. B = A + B - Divers : A+A.B = A A+ A.B = A+B A.( A +B) = A.B A.B+ A.C+B.C = A.B+ A.C III- Matérialisation des opérateurs logiques: 2/ Les portes logiques: Les portes logiques sont des circuits électroniques dont les fonctions de transfert (relation entre les entrées et les sorties) matérialisent les opérations de base appliquées à des variables électriques. a- La porte «ET»: A B & S A B S S = A.B Si V représente le niveau BAS de tension (état ) et V le niveau HAUT de tension (état), on relève en sortie du circuit les tensions données dans la table de fonctionnement et on en déduit la table de vérité. M.TAYARI Lassaad Page 2/59 Chapitre 3

14 Table de fonctionnement Table de vérité VA VB VS A B S V V V V V V V V V V V V b- La porte «OU»: A B S A B S S = A + B Table de fonctionnement Table de vérité VA VB VS A B S V V V V V V V V V V V V Remarque: des portes logiques OU et ET à 2,3,4,8 et 3 entrées sont disponibles sous forme de circuits intégrés. (74LS32 et 74LS8) c- La porte «NON»: 74LS4 A S A S S = A Table de vérité A S d- La porte «OU EXCLUSIF»:74LS86 Proposition logique: (Sortie = ) si une seule des 2 variables d entrées est à l état. A B S = A. B+ A.B = A B = A S B S S = A B Table de vérité AB S M.TAYARI Lassaad Page 3/59 Chapitre 3

15 e- La porte «NAND»: (Non Et) 74LS A B S = A. B = A + B & S = A. B A B S= A + B Table de vérité AB S f- La porte «NOR»: (Non Ou) 74LS2 S = A B = A. B A B S= A B A B & S= A. B Table de vérité AB S M.TAYARI Lassaad Page 4/59 Chapitre 3

16 Chapitre IV: Recherche et simplification des fonctions logiques combinatoires Introduction: Le fonctionnement d un système logique combinatoire est décrit: - Littéralement: par une ou plusieurs propositions logiques. - Numériquement: par sa table de vérité (état de la sortie pour toute les combinaisons des variables d entrées). - Algébriquement: par une fonction logique (en associant les variables par les opérateurs ET, OU et NON. - Par une table de fonctionnement: décomposition en plusieurs blocs fonctionnels. I- Fonctions logiques décrites par une table de vérité: / Fonction complètement définie: Il s agit de fonctions dont la valeur est connue pour toutes les combinaisons des variables. Exemples: La fonction «Majorité de 3 variables»: MAJ(A,B,C) La fonction MAJ vaut si la majorité (2 ou 3) des variables sont à l état. - Table de vérité: Combinaison A B C MAJ(A,B,C) C C C2 C3 C4 C5 C6 C7 M.TAYARI Lassaad Page 5/59 Chapitre 4

17 2/ Fonction incomplètement définie: Une fonction est dite incomplètement définie quand sa valeur est indifférente (ne change pas le résultat) ou non spécifiée (n existent pas) pour certaines combinaisons de variables. Elles peuvent être physiquement impossible. On utilise le symbole X ou pour la valeur non spécifiée de la fonction. Exemple: Soit un clavier qui comporte 3 boutons poussoirs P,P2 et P3 qui commandent une machine et qui possèdent un verrouillage mécanique telque 2 boutons adjacents ne peuvent pas être enfoncés simultanément. Clavier: P P2 P3 Marche Manuelle Arrêt Augmenter la Vitesse Supposons que Pi appuyé = et Pi relâché =, d où la table de vérité de la fonction «Clavier» qui détecte au moins un poussoir déclenché: P P2 P3 Clavier 3/ Recherche d une fonction logique à partir de sa table de vérité: Prenons comme exemple la fonction MAJ précédente. La fonction MAJ = si on a: (C3) OU (C5) OU (C6) OU (C7) soit: (A=,B=C=) OU (B=,A=C=) OU (C=,A=B=) OU (A=B=C=) Si Pi représente une fonction logique qui identifie une combinaison i, alors: MAJ = P3 + P5 + P6 + P7 - Recherche de Pi: P3 = si (A=) ET (B=) ET (C=) M.TAYARI Lassaad Page 6/59 Chapitre 4

18 Or un produit ne vaut que si tous les termes du produit sont dans l état. D où: } P3 = A.B.C P5 = A. B.C MAJ = A.B.C+A. B.C+A.B. C +A.B.C P6 = A.B. C P7 = A.B.C II- Simplification des fonctions logiques: Après la recherche de l expression algébrique de la fonction, l étape suivante consiste à minimiser le nombre de termes afin d obtenir une réalisation matérielle plus simple donc plus facile à construire et à dépanner, en plus moins coûteuse. Deux méthodes de simplification sont utilisées: - La réduction algébrique - Les tableaux de KARNAUGH (diagramme de KARNAUGH). / La réduction algébrique: Il s agit d appliquer les théorèmes et les propriétés de l algèbre de Boole pour obtenir une expression plus simple de la fonction. Exemple: Simplification de la fonction Majorité «MAJ» MAJ = A.B.C+A. B.C+A.B. C +A.B.C X on a: X+X = X et X. A +X.A = X (voir propriétés) Soit: X = A.B.C MAJ = A.B.C+A.B.C+A. B.C+A.B.C+A.B. C +A.B.C =B.C.(A+ A )+A.C.(B+ B )+A.B.(C+ C ) =B.C+A.C+A.B 2/ Le tableau de KARNAUGH (T.K.): La méthode de KARNAUGH permet de visualiser une fonction et d en tirer intuitivement une fonction simplifier. L élément de base de cette méthode est la table de KARNAUGH qui représente, sous forme de tableau, toutes les combinaisons d états possibles pour un nombre de variable donné. M.TAYARI Lassaad Page 7/59 Chapitre 4

19 Théorème d adjacence : deux mots binaires sont dit adjacents s ils ne diffèrent que par la complémentarité d une, et une seule, variable. Si deux mots sont adjacents sont sommés, ils peuvent être fusionnés et la variable qui diffère est éliminée. Par exemple, les mots ABC et ABC sont adjacents puisqu ils ne diffèrent que par la complémentarité de la variable C. le théorème stipule donc que ABC +ABC=AB. Construction du tableau: La table de KARNAUGH a été construite de façon à faire ressortir l adjacence logique de façon visuelle. - chaque case représente une combinaison de variables, - la table de vérité est transposée dans le tableau en mettant dans chaque case la valeur de la fonction correspondante. La fonction représentée par un T.K. s écrit comme la somme des produits associés aux différentes cases contenant la valeur. Règle à suivre pour un problème à n variables: (n>2) Le T.K. comporte donc 2 n cases ou combinaisons, l ordre des variables n est pas important mais il faut respecter la règle suivante: «Les monômes repérant les lignes et les colonnes sont attribués de telle manière que 2 monômes consécutifs ne diffèrent que de l état d une variable, il en résulte que 2 cases consécutives en ligne ou en colonne repèrent des combinaisons adjacentes». on utilise donc le code GRAY. - Exemple: n = 4 CD AB C D C D CD C D A B A B AB A B M.TAYARI Lassaad Page 8/59 Chapitre 4

20 Exemple de remplissage du T.K. à partir de la table de vérité: ABCD F(A,B,C,D) CD AB C D C D CD C D A B A B AB A B F(A,B,C,D) = A B. C.D+-A.B. C. D+A.B. C. D+A B.C.D+A.B. C.D+A. B.C.D 3/ Simplification des expressions logiques à l aide du T.K.: a- Regroupement des cases adjacentes: 2 cases: - Exemple: «Fonction MAJ: majorité de 3 variables» C AB C C A B A B AB A B X = A.B.C+A.B.C = B.C (A change d état) Y = A.B.C+A B.C = A.C (B change d état) Z = A.B. C +A.B.C = A.B (C change d état) MAJ = X+Y+Z = A.B+A.C+B.C Règle: «La réunion de 2 cases adjacentes contenant élimine la variable qui change d état quand on passe d une case à l autre». M.TAYARI Lassaad Page 9/59 Chapitre 4

21 4 cases: AB CD A B A B AB A B C D CD C D C D F AB CD A B A B AB A B C D CD C D C D F2 AB CD A B A B AB A B C D CD C D C D F3 Exercice: Chercher les expressions des 3 fonctions F, F2 et F3. Règle: «2 variables disparaissent quand on regroupe 4 cases adjacentes, on peut alors remplacer la somme des 4 cases par un seul terme produit qui ne comporte que les variables inchangées sur l ensemble des 4 cases». 8 cases: - Exemple: AB CD A B A B AB A B C D CD C D C D F = C. D +C. D = D Règle: «3 variables disparaissent quand on regroupe 8 cases adjacentes, on peut alors remplacer la somme des 8 cases par un seul terme produit qui ne comporte que les variables inchangées sur l ensemble des 8 cases». * Remarques: - On ne peut regrouper que 2 n cases: 2, 4, 8, 6,.. - On se limitera à des tableaux de 4 variables, pour résoudre par exemple un problème à 5 variables, on le décompose en 2 problèmes à 4 variables. b- Traitement d un problème de 5 variables: M.TAYARI Lassaad Page 2/59 Chapitre 4

22 Pour résoudre ce problème, il faut le décomposer en 2 problèmes à 4 variables en appliquant le théorème d expansion de SHANNON: F(A,B,C,D,E) = E.F(A,B,C,D,) + E.F(A,B,C,D,) c- Les valeurs indifférentes ou non spécifiées: Le symbole peut prendre indifféremment la valeur ou ; on remplace donc par uniquement ceux qui permettent de simplifier une expression par regroupement. Exemple: A B C F(A,B,C) AB C F(A,B,C) = B III- Résumé: (de la synthèse d un système combinatoire) * Différents aspects d une fonction logique: Table de Vérité Tableau de KARNAUGH Expression Algébrique * Passage T.V. ==> T.K. ==> E.A.: - Etape n : construire le tableau en repérant les lignes et les colonnes par les valeurs des combinaisons de variables. - Etape n 2: transcrire les valeurs de la fonction dans les cases correspondantes. - Etape n 3: chercher à effectuer des regroupements du plus grand nombre de qui ont au moins un qui n a pas déjà été regroupé: 6 puis 8 puis 4 puis 2. - Etape n 4: effectuer la somme logique de tous les termes produits des divers regroupements. M.TAYARI Lassaad Page 2/59 Chapitre 4

23 Chapitre V: Les circuits logiques combinatoires I- Introduction : Les composants utilisés jusqu à maintenant (ET, OU, NON-ET, Xor, ) faisant partie de la catégorie SSI (Small Scale Integration). Le progrès technique réalisé en conception de circuits intégrés ont permis de concevoir des circuits un peut plus complexes permettant de réaliser des fonctions plus générales. Ces circuits représentent les circuits d intégration moyenne (MSI Medium Scale Integration). II- Les circuits intégrés décodeurs: / Définition: Un décodeur «parmi 2 n» (une sortie parmi n entrées), est un circuit logique à n entrées et 2 n sorties, qui fournissent tous les produits Pi qui identifient toutes les combinaisons de n variables d entrée. Les sorties sont actives à l état (vraies au niveau bas). On a donc une seule sortie à l état, celle qui décode la combinaison présente sur les entrées; toutes les autres sont à l état. Décodeur Y Y Y2 Y2 n - A n- A 2 A A Décodeur parmis 4 Les circuits intégrés décodeurs (ainsi que d autres circuits intégrés) possèdent généralement une ou plusieurs entrées de validation: - Entrées de validation actives => fonctionnement normal du circuit. M.TAYARI Lassaad Page 22/59 Chapitre 5

24 - Entrées de validation inactives => les sorties sont au niveau haut le code d entrée. 2/ Les décodeurs intégrés TTL: a- Le décodeur /8 «74LS38»: * Table de vérité: Entrées Validation Données Sorties E E 2 E 3 A2 A A S S S 2 S 3 S 4 S 5 S 6 S 7 X X X X X X X X X X X X X X X Remarque: On peut réaliser des décodeurs de taille quelconque par combinaisons des précédents en utilisant les entrées de validation. Exemple: un circuit de décodage des combinaisons de 5 variables: parmi 32, en utilisant 4 décodeurs parmi 8 ou bien 2 décodeurs parmi 6. b- Les décodeurs DCB-décimal: «exemple: 74-42» Chaque sortie passe au niveau BAS quand son entrée DCB correspondante est appliquée. Dans le cas des codes qui ne sont pas des représentations DCB, aucune des sorties n est mise à son niveau VRAI. c- Les décodeurs DCB-7segments: «exemple: 74-47» Un décodeur DCB-7segments accepte en entrée les 4 bits DCB et rend actives les sorties qui permettent d allumer les segments représentant le chiffre correspondant. => Les anodes des diodes sont toutes réunies à Vcc (+5V). Leurs cathodes sont connectées au travers de résistances limitatrices de courant aux sorties. M.TAYARI Lassaad Page 23/59 Chapitre 5

25 3/ Application des décodeurs: La réalisation d une fonction écrite sous forme «somme de produit» est évidente avec un décodeur (pas de simplification). a- Réalisation d une fonction avec un décodeur /8 et un opérateur NAND à 4 entrées: Sortie C B A Y S S S2 S3 S4 S5 S6 S7 C B A A B C 74LS38 E E2 E3 Y CBA.. C. B. A C. B. A C. B. A S S 2 S 3 S 5 Y(A,B,C) b- Exercice: i)réaliser un décodeur parmi 32 en utilisant 4 décodeurs 74LS38 et un inverseur. Un code d entrée de 5 bits A 4 A 3 A 2 A A ne valide qu une seule sortie parmi les 32 pour chacune des 32 représentations d entrées possibles. ii) u elle est la sortie active si A 4 A 3 A 2 A A =? III- Les circuits intégrés Multiplexeurs ou sélecteurs de données (MUX): / Définition: C est un circuit logique qui permet de sélectionner une information logique parmi N informations: - Les informations sont connectées à N entrées appelées entrées de données». - Le choix de l entrée se fait à partir d un nombre P de variables appelées «variables de sélection». Entrées de données E E. E N- MUX de N données - Chaque combinaison des variables de sélection adresse l une des entrées d où: N=2 P. S : Sortie A.. A p : Entrées de sélection M.TAYARI Lassaad Page 24/59 Chapitre 5

26 2/ Multiplexeur à 2 entrées: «N=2 et P=» Il permet d aiguiller vers la sortie Y, une voie d information parmi 2 (E,E ) suivant l état d une variable de sélection notée A. * Table de fonctionnement: *Symbole logique: *Table de vérité: A Sortie Y Sélecteur de E Sélecteur de E E E MUX de 2 données A Y A E E Y X X X X Y(A,E,E ) = A.Y(,E,E )+A.Y(,E,E ) = A.E +A.E 3/ Multiplexeur à 4 entrées: «N=4 et P=2» Il permet d aiguiller vers la sortie Y, une voie d information parmi 4 (E,E,E 2,E 3 ) suivant l état de 2 variables de sélection A A. * Table de fonctionnement: *Symbole logique: *Table de vérité: A A Y E E E 2 E 3 E E E 2 E 3 MUX de 4 données Y A A E 3 E 2 E E Y X X X X X X X X X X X X X X X X X X X X X X X X A A Y = A.-A.E + A.A.E +A. A.E 2 +A.A.E 3 4/ Multiplexeurs à 8 et 6 voies d entrées: (P=3 et P=4) * Exemple: «le circuit 74LS5 à 8 entrées» - Table de fonctionnement / Schéma logique / Table de vérité: voir annexe. - Equation: M.TAYARI Lassaad Page 25/59 Chapitre 5

27 Y= A 2. A. A.E + A 2. A.A.E + A 2.A. A.E 2 + A 2.A.A.E 3 +A 2. A. A.E 4 +A 2. A.A.E 5 +A 2.A. A.E 6 +A 2.A.A.E 7 * Exemple de multiplexeur à 6 entrées:74ls5 5/ Exercices: a- Réaliser les schémas logiques des multiplexeurs à 2 entrées et à 4 entrées. b- Réaliser de 2 manières différentes un multiplexeur à 6 entrées en utilisant des multiplexeurs à 8 entrées. IV- Les circuits démultiplexeurs: / Définition: Un circuit démultiplexeur permet d aiguiller la donnée présentée sur son entrée vers une seule destination parmi N connectées sur les N sorties du circuit. Le choix se fait à partir de P variables de sélection d où: N=2 P. ==> C est l opération inverse du multiplexage. 2/ Réalisation: Le démultiplexage d informations de «bit» est réalisé pratiquement par les circuits décodeurs => appellation «décodeur/démultiplexeur»: - L entrée du donnée du démultiplexeur est l entrée de validation du circuit. - Les entrées de sélection du démultiplexeur sont les entrées de données du circuit. V- Autres types de circuits combinatoires: Les circuits Additionneur, Multiplicateur et Comparateur ==> Voir TPs. M.TAYARI Lassaad Page 26/59 Chapitre 5

28 I- Introduction : Systèmes combinatoires : Les sorties ne dépendent que des entrées. Chapitre VI: Systèmes Logiques Séquentiels Les bascules bistables Exemples : les codeurs, les décodeurs, les transcodeurs, les multiplexeurs, les comparateurs, les additionneurs, E E E... Système combinatoire... S S2 Sn Systèmes séquentiels : Les sorties actuelles dépendent non seulement des états des entrées (capteurs, boutons poussoirs.) appelées entrées primaires mais encore des réactions provenant des états des précédentes appelées entrées secondaires. Entrées primaire s E E2 En Système combinatoire S S2 Sn Sorties Δt Une bascule est un système séquentiel constitué par un ou deux entrée et une sortie notée et sont complément / E E2 Leurs rôles est de mémoriser une information élémentaire. Bistable : deux états stables. M.TAYARI Lassaad Page 27/59 Chapitre 6

29 On distingue quatre grand types de bascules : RS, D, T et JK II- Bascule RS : S : Set mise à de R : Reset mise à de a. table de vérité : R S n n+ /n+ Description Etat précédent Etat précédent Enclenchement Maintient à Maintient à Déclenchement Indéterminé Indéterminé L état R=S= est inutilisable. Généralement, on impose l état. b. Equation : n \ RS - n + = R. n + S c. Réalisation : Avec des portes NAND R S R S Avec des porte NOR n + =S. n =S. (R. n )=R. n + S A marche prioritaire R S n + = R + n =R. ( n + S) = R. + R S A Arrêt prioritaire M.TAYARI Lassaad Page 28/59 Chapitre 6

30 d. Notation: S R III- La bascule D : Elle est à une seule entrée notée D. la sortie recopie avec un certain retard (Delay) la donnée (Data) à l entrée. a. Table de vérité : D n n+ / n+ Description Maintient à : µ Déclenchement : Enclenchement : Maintient à : µ La bascule D élimine la mémorisation et les cas indéterminée dans la bascule RS b. Equation : n \ D n + =D c. Logigramme : En mettant S=D et R = D dans l équation de la bascule RS on aura : n+ =D + D n =D(+ n )=D Le logigramme sera : D S R / M.TAYARI Lassaad Page 29/59 Chapitre 6

31 d. Symbole: D IV- La bascule JK : Contrairement à la bascule RS, la condition J=K=, ne donne pas lieu à une condition indéterminée, mais par contre la bascule passe à l état opposée. a- table de vérité : J K n n+ /n+ Description Etat précédent Etat précédent Maintient à : µ Déclenchement : Enclenchement : Maintien à : µ Enclenchement : Déclenchement : b- Equation : n \ JK n + =K. n + J. n c- Logigramme : J S K R RS M.TAYARI Lassaad Page 3/59 Chapitre 6

32 d- Symbole : J K V- La bascule T : On obtient La bascule T, en reliant les entrées J et K d une bascule JK. T J K JK T a. table de vérité : T n n+ / n+ Description Maintient à : µ Maintient à : µ Enclenchement : Déclenchement : b. Equation : En remplaçant J et K par T dans l équation de la bascule JK on obtient : n + =T. n + T. n VI- Forçage des bascules : Certaines bascules sont équipés d entrées particulières. Entrées de remise à : PRESET (RA ) Entrées de remise à : CLEAR (RA ) R P R E S E T R S P R E S E T R S S C L E A R CLEAR Même chose pour les bascules D, JK et T M.TAYARI Lassaad Page 3/59 Chapitre 6

33 a. table de vérité : /PRESET /CLEAR n+ / n+ Description n / n Fonctionnement normal Forçage à Forçage à Interdit VII- Les bascules synchrones : Dans les bascules ordinaires, les changements des états des sorties suivent immédiatement les changements des états des variables d entrées (une telle bascule est dite asynchrones). Une bascule est dite synchrone si, en plus des entrées ordinaires, elle possède une entrée H ou CLK (dite entrée d horloge). H ou CLK a. Synchronisation sur niveau (haut et bas) : Niveau haut : R R H S S - Si H= les sorties S et R sont bloquées à quelque soient R et S. La sortie garde l état précédente. - Si H=, la bascule peut fonctionner normalement. t Donc la bascule RS ne fonctionne normalement que si H= (niveau haut) symbole: S H R P R E S E T Même chose pour les autres bascules. C L E A R M.TAYARI Lassaad Page 32/59 Chapitre 6

34 Niveau bas : Dans le niveau bas, c est l inverse qui se manifeste : - si H= : garde l état précédent - Si H= : fonctionnement normale de la bascule H H R S R S - Si H= les sorties S et R sont bloquées à quelque soient R et S. La sortie garde l état précédente. - Si H=, la bascule peut fonctionner normalement. Donc la bascule RS ne fonctionne normalement que si H= (niveau haut) symbole: S H R P R E S E T Même chose pour les autres bascules. C L E A R b. Synchronisation sur front (montant, descendant) : [ Bascule maître esclave] PRESET Bascule JK maître-esclave font descendant H J K JK J K JK 2 2 CLEAR Les deux bascules fonctionnent normalement si PRESET=CLEAR= et la première bascules fonctionne comme une bascule D, elle fait passer l information à 2 si H passe au niveau bas. Donc toute la bascule maître-esclave est équivalente à une seule bascule JK qui fonctionne sur front descendent de l horloge. M.TAYARI Lassaad Page 33/59 Chapitre 6

35 J H K PRESET C L E A R Bascule JK maître-esclave front montant: PRESET H J K JK J K JK 2 2 CLEAR Les deux bascules fonctionnent normalement si PRESET=CLEAR= et la première bascules fonctionne comme une bascule D, elle fait passer l information à 2 si H passe au niveau haut. Donc toute la bascule maître-esclave est équivalente à une seule bascule JK qui fonctionne sur front montant de l horloge. J H K PRESET Exercice : Soit le montage suivant : CLEAR D H PRESET CLEAR M.TAYARI Lassaad Page 34/59 Chapitre 6

36 Trouver le chronogramme de D et, en déduire la fonction réalisée. H D n VIII- Résumé : J H K PRESET J H K PRESET J H K PRESET J H K PRESET CLEAR CLEAR CLEAR CLEAR a- Bascule JK synchronisée sur niveau haut b- Bascule JK synchronisée sur niveau bas c- Bascule JK synchronisée sur front montant c- Bascule JK synchronisée sur front descendant M.TAYARI Lassaad Page 35/59 Chapitre 6

37 ETUDE DE UELUES SYSTEMES SEUENTIELS Chapitre VII: I. Généralités sur les compteurs a) Utilité: Le comptage d événements est une opération indispensable dans de nombreux automatismes dés lors que ces événements peuvent être traduits par des impulsions électriques. Exemples: - La mesure de vitesse par comptage des tours de roue (machines, véhicules,..), - la mesure de fréquence d un signal par comptage du nombre de périodes dans un intervalle de temps, - la réalisation d horloge (heure, chronomètre) par comptage d une base de temps, - le comptage d objets (véhicules dans un parking,..), - etc. b) Définition: Un compteur est un circuit séquentiel qui permet de dénombrer les impulsions électriques reçues sur une entrée appelée «Horloge» depuis un instant d origine; ce nombre est disponible sur les sorties sous forme d un «code binaire de n bits». c) Le décomptage: C est l opération qui consiste à faire progresser le compteur en sens inverse du comptage. Remarques: - Chaque impulsion modifie d une unité l état (contenu) du compteur. - Le compteur constitue un registre mémoire à «N» sorties, constitué donc de «n» bascules dont l interconnexion détermine la séquence de comptage prévu. d) Capacité d un compteur: C est le nombre maximum d impulsions qu il peut enregistrer avant de revenir à son état initial. Un compteur à «N» bascules appelé COMPTEUR MODULO 2 N peut prendre 2 N états: de à 2 N -; la 2 N ième impulsion remet le compteur à. M.TAYARI Lassaad Page 36/59 Chapitre 7

38 Les bascules permettent de réaliser des compteurs qui trouvent de nombreuses utilisations dans les applications modernes. On peut réaliser des compteurs asynchrones ou synchrones. II. Compteurs asynchrones Dans la réalisation des compteurs asynchrones, les bascules ne changent pas d état simultanément. Techniquement, si on utilise des bascules JK, on les place en cascade en fixant toutes les entrées J et K égales à. La première bascule qui contient le bit de poids le plus faible reçoit l entrée de l horloge. Pour les autres bascules, la sortie de chaque bascule sert de signal d horloge pour la bascule suivante. Notons qu on peut programmer la remise à d'un compteur asynchrone en agissant sur les entrées Clear de chaque bascule.. Compteur Asynchrone Modulo 2 n Pour construire un compteur modulo N qui compte de jusqu à ( N-), on cherche le nombre n de bascules tel que : 2 n > N Exemples : -- pour un compteur octal qui compte de jusqu à 7 ; il faut 3 bascules. -- pour un compteur décimal qui compte de jusqu à 9 ; il faut 4 bascules a) Compteur Octal Un compteur binaire octal compte les nombres de ( à 7 ) en binaire. Il part de zéro jusqu à 7 et recommence de façon cyclique. Il peut être constitué de 3 bascules de type JK. La Figure 5. représente schématiquement un tel système. Le signal d horloge H est injecté à la première bascule A. la sortie de A, soit a, sert de signal d horloge à la bascule B. De même, la sortie de la bascule B, soit b, sert de signal d horloge à la bascule C. Figure VII. : Schéma d un compteur octal M.TAYARI Lassaad Page 37/59 Chapitre 7

39 Lorsque le signal d horloge est lancé à la fréquence f, la bascule A divise cette fréquence par 2 et sert de fréquence d horloge pour la bascule suivante B. Pareillement, la bascule B divise la fréquence de a par 2 et sert de signal d horloge à la bascule C. La bascule C divise la fréquence de b par2. Les changements d états des bascules peuvent se faire au front montant comme au front descendant du signal d horloge selon la technologie choisie. Dans notre cas, on considérera les changements des états de sorties à chaque front descendant du signal d horloge. La Figure VII.2 représente l évolution temporelle des états des sorties des bascules A, B et C. Les sorties ( c-b-a ) changent d états comme indiqué sur la Figure 5.2. Figure VII.2 : Evolution temporelle des sorties La succession des changements d états est reportée sur le tableau Tab 5.. On constate que la succession des états des sorties c b a correspond à un comptage binaire naturel qui commence de zéro jusqu à 7 et recommence. c b a Décimal M.TAYARI Lassaad Page 38/59 Chapitre 7

40 Table VII. En prenant les sorties complémentaires des bascules, on aurait un comptage régressif qui compte de 7 à. b) Compteur Décimal Un compteur décimal compte de à 9. Pour réaliser un compteur asynchrone décimal, il faut quatre bascules. On notera qu avec 4 bascules, on dispose d un compteur qui compte de à 5 (Figure 5.3). Figure VII.3 Aussi, pour avoir un compteur décimal, il faut ajouter des composants combinatoires pour ramèner le compteur à zéro dès que l on dépasse 9. Si on analyse les états des quatre sorties (Tab 5.2), Décimal Binaire d c b a Tab VII.2 Une technique possible consiste à effectuer la remise à zéro de toutes les bascules dès que est détecté. La remise à zéro de toutes les bascules est effectuée par un circuit combinatoire qui remet les bascules à zéro en utilisant les entrées Clear. Le circuit suivant permet effectuer cette opération (Figure VII.4). M.TAYARI Lassaad Page 39/59 Chapitre 7

41 Figure VII.4 Pour les compteurs asynchrones, la caractéristique principale c est que chaque bascule provoque un retard. Par conséquent, de préférence à ne pas utiliser ce type de compteurs pour le comptage de temps. III. Les compteurs synchrones : Dans un compteur synchrone, toutes les bascules sont actionnées en même temps par le même signal d horloge simultanément. Toutes les bascules changent donc d'état simultanément Contrairement aux compteurs asynchrones. Afin de réaliser des bascules synchrones, il faut déterminer les valeurs d entrées pour chaque bascule. Pour cela, on reprend la table qui donne les changements d état en fonction des entrées. Cette table est dite la table d excitation de la bascule en question. Les valeurs dans les cases de la table d excitation signifient qu elles peuvent être prises égales à ou à sans que ça influence le résultat de la transition.. Rappel : chercher,,, en fonction de R,S, ou J,K, ou T ; ou D. a. Bascule RS : D après la table de vérité ou de Karnaught on a : S R M.TAYARI Lassaad Page 4/59 Chapitre 7

42 b. Bascule JK : J K c. Bascule D : D d. Bascule T : T 2. Exemple: Soit le compteur pouvant réaliser la séquence suivante : 2 M.TAYARI Lassaad Page 4/59 Chapitre 7

43 Utiliser des bascules JK pour faire la synthèse du compteur. Pour cela il nous faut trois bascules. 2n n n 2n+ n+ n Détermination de J : : base, : facultatifs : non Détermination de K : : base, : facultatifs 2n \ n n - - : non n+ J 2 K 2n \ n n - - n+ J 2 K 2n \ n n - - J2 K2. 2n+ M.TAYARI Lassaad Page 42/59 Chapitre 7

44 D ou le montage suivant : 2 H J 2 2 J J K 2 2 K K IV. Les Registres Un registre est ensemble de cellules mémoires élémentaires dans lequel un mot binaire est conservé provisoirement, le registre est un circuit synchrone utilisé comme structure fondamentale dans le système numérique. Il est constitué d un ensemble de bascules, synchronisées par la même horloge. Les registres sont classés par : Le nombre de bits Le mode de fonctionnement (multiple ou unique) ) Différents types de registres On distingue quatre types de registres selon la façon dont sont utilisées les entrées et les sorties, en parallèle ou en série. On trouve dans le marché : - des registres à écriture parallèle et à lecture parallèle (PIPO) 2- des registres à écriture série et à lecture parallèle (SIPO) 3- des registres à écriture série et à lecture série (SISO) 4- des registres à écriture parallèle et à lecture série (PISO) a) Registres à entrées parallèles, sorties parallèles (PIPO) Toutes les entrées (E, E2, E3, E4) sont introduites en même temps dans le registre. Toutes les sorties (S, S2, S3, S4) sont disponibles au même instant. Les signaux RAZ et RAU sont des entrées asynchrones permettant respectivement la remise à zéro ou la remise à un de toutes les bascules en même temps. M.TAYARI Lassaad Page 43/59 Chapitre 7

45 On considère un registre de quatre bits. Les bascules utilisées dans les exemples suivants sont des bascules D mais un registre peut également être réalisé à partir de bascules JK. Ce type de registre est aussi appelé registre tampon. Il est souvent utilisé pour la mémorisation de données de durée brève ou pour le transfert de données. b) Registres à entrée série, sortie série (SISO) Ce registre possède une entrée E et une sortie S. Les données binaires d entrée sont introduites bit après bit. Elles sont également disponibles les unes après les autres au rythme de l'horloge en sortie. Ce type de registre est utilisé pour effectuer des décalages. En rebouclant la sortie de la dernière bascule sur l entrée de la première, on obtient ce qu on appelle un "compteur en anneau". Pour charger une donnée 4 bits initiale sur les entrées D des bascules, il faut ajouter une logique de commande composée de quelques portes supplémentaires. Cette donnée se retrouver cycliquement sur les mêmes bascules. En rebouclant la sortie complémentée de la dernière bascule sur l entrée de la première, on obtient ce que l on appelle un "compteur Johnson". Ce compteur possède un modulo égal à 2n, où n est le nombre de bascules. M.TAYARI Lassaad Page 44/59 Chapitre 7

46 c) Registres à entrée série, sorties parallèles (SIPO) Ce registre possède une entrée E et plusieurs sorties (S, S2, S3, S4). Les données binaires d entrée sont introduites bit après bit. Les sorties sont toutes disponibles en même temps. Ces registres peuvent être utilisés pour faire une transformation sérieparallèle des données. La donnée est disponible après N coups d horloge, où N est le nombre de bascules. c) Registres à entrées parallèles, sortie série (PISO) Toutes les entrées (E, E 2, E 3, E 4 ) sont introduites en même temps dans le registre. Les informations en sortie sur S sont disponibles les unes après les autres au rythme de l'horloge. Ces registres peuvent être utilisés pour faire une transformation parallèlesérie des données. La sortie d une bascule est reliée à l entrée D de la bascule suivante. Les entrées parallèles ne peuvent pas être appliquées directement sur les entrées des bascules, puisqu elles mettraient en court-circuit les sorties des bascules précédentes. Il faut utiliser une logique de commande à base de portes logiques ET et OU, ayant pour signal d entrée une commande de chargement/décalage. M.TAYARI Lassaad Page 45/59 Chapitre 7

47 2) Registres universels Il existe des circuits intégrés regroupant les quatre types de registres présentés cidessus. Ils permettent les modes de fonctionnement suivants : - chargement et lecture parallèles, - chargement série et décalages à droite ou à gauche, lecture série ou parallèle, - chargement parallèle et décalages à droite ou à gauche, lecture série ou parallèle. Par exemple, le circuit intégré de référence 7494 possède la représentation symbolique suivante : Les entrées A, B, C, D sont les entrées parallèles. Les entrées SL et SR sont respectivement les entrées/sorties séries gauche et droite. Les entrées S et S permettent de choisir le mode de fonctionnement de ce registre (blocage, décalage à droite, décalage à gauche, chargement parallèle). L'entrée CLR (active sur niveau bas) permet une remise à zéro asynchrone des sorties. L'entrée CLK est l'entrée horloge de synchronisation. Les sorties sont A, B, C, D. M.TAYARI Lassaad Page 46/59 Chapitre 7

48 Chapitre VIII Organisation Et Fonctionnement Des Mémoires I- INTRODUCTION Une mémoire est un dispositif d'enregistrement, de conservation et de restitution de l'information. On distingue deux classe de mémoires à semi-conducteurs: Les mémoire vives (RAM) Ce sont des mémoires pour lesquelles on ne peut pas garantir l'intégrité de l'information inscrite si entre temps il y a eu interruption de l'alimentation électrique. Lorsqu'elles sont alimentées, elles peuvent être lu et écrites. Les mémoire mortes (RAM) Ce sont des mémoires pour lesquelles on inscrit l'information dans leurs structures matérielles. Elles conservent ainsi l'information même en l'absence de l'alimentation électrique. Lorsqu'elles sont alimentées, elles ne sont généralement que lues sur site. L'écriture, appelé aussi programmation, se fait à l'aide d'un dispositif spécial appelé programmateur. II- ORGANISATION DE LA MEMOIRE. Cellules élémentaires et mot mémoire La cellule élémentaire d'une mémoire morte peut être assimilée à un interrupteur à semiconducteur constitué soit d'une diode soit de transistors. La cellule élémentaire d'une mémoire vive peut être assimilée à une bascule RS, JK ou D. Elle est généralement de type D. M.TAYARI Lassaad Page 47/59 Chapitre 8

49 D Mémoire ( bit) C K La sortie de la bascule est le bit mémoire Cellule de base mémoire Vive +Vcc +Vcc R R Interrupteur fermé Cellule de base mémoire Morte Interrupteur ouvert Un mot mémoire est un ensemble de n bits juxtaposés Exemple: Bit3 Bit2 Bit Bit e s t u n m o t d e 4 b i t s Le nombre n de bits d'un mot mémoire peut être quelconque. Dans la pratique n peut être égal à 4, 8, 6, 32 ou même 64. Lorsque n est 8, on dit que le mot mémoire est un octet (byte). Chaque mot mémoire est contenu dans une case mémoire. 2. Bus d'adresses Une mémoire contient plusieurs cases. Pour identifier chaque case, on lui attribut un numéro appelé adresse. Cette adresse s'obtient par la combinaison binaire d'un ensemble de fils appelé bus d'adresse. M.TAYARI Lassaad Page 48/59 Chapitre 8

50 Exemple d'adressage d'une mémoire de 4 cases: Case Case Case 2 Case 3 Cette organisation mémoire conduit à l'adressage suivant A A CASES A A Case Case Case 2 Case 3 Avec n fils d'adresse (on dit n bits d'adresses ) on peut adresser 2 n cases. C= 2 n est la capacité de la mémoire exprimée en nombre de cases ou en mots mémoire. Dans la pratique, lorsque l'on a une mémoire de n bits d'adresse: Sa capacité est C = 2 n cases Ses cases sont numérotées de à 2 n Son bus d'adresse est noté A n-.. A Exemple : Si l'on dispose d'une mémoire de capacité 8 KO, on peut exprimer cette capacité en bits. Soit 8Ko *8bits ou encore 64 Kbits. On détermine le nombre n de bits du bus d'adresse en appliquant la relation: 2 n cases = 8 Kcases soit encore 2 n cases= 2 3 * 2, ce qui donne un bus d'adresse noté A 2..A. 3. Bus de données Pour mettre le contenu d'une case mémoire en relation avec l'extérieur, la mémoire dispose d'un ensemble de fils appelé bus de données, noté (D m-..d ). Bus d'adresses A n- A MEMORE D m- D Bus de données M.TAYARI Lassaad Page 49/59 Chapitre 8

51 III- FONCTIONNEMENT D'UNE MEMOIRE. Notion de sélection d'une mémoire: Les mémoires sont conçues pour pouvoir être connectées ensemble sur un même bus de données. Il faut donc éviter les conflits entre des mémoires qui traiteraient de mettre en même temps les données sur le bus commun. Pour cela, la mémoire est doté d'une entrée de sélection (/CE=/CS) (Chip enable =Chip set). Si /CE= alors, la mémoire est sélectionnée et le bus de données externe peut être connecté au contenu de la case d'adresse A n-.. A. Si /CE= alors, la mémoire est déconnectée et le bus de donnée externe est en haute impédance. 2. Notion de lecture d'une mémoire: Lire une mémoire consiste à transférer sur son bus de données externe le contenu d'une case mémoire dont le numéro est placé sur le bus d'adresse. La mémoire dispose d'une entrée souvent noté /OE. Cette entrée permet de donner l'ordre de lecture. Si /OE = alors, on autorise la sortie de données Si /OE = alors, il n'y a pas de lecture et le bus de données est en haute impédance. A A n - /CE /OE Décodeur Choix de Case G B u s i n t erne d e d on n ées Bus externe de données D3.... D2 D Case 2m- Case 2m- 2 D Case La lecture effective n'a lieu que si la mémoire est sélectionnée (/CE=) et (/CS=). Le schéma ci-dessus expose la méthode d'organisation d'une mémoire en cases. M.TAYARI Lassaad Page 5/59 Chapitre 8

52 3. Notion d'écriture mémoire: Ecrire dans une mémoire, on dit aussi programmer une mémoire, consiste à transférer la donnée présente sur le bus de données dans le contenu de la case mémoire dont le numéro est présenté sur le bus d'adresse. La mémoire dispose d'une entrée souvent noté /WE par laquelle on donne l'ordre d'écriture. Si /WE = alors, l'écriture est possible. C'est le début de l'écriture qui devient effective sur le front montant de /WE si la mémoire est sélectionnée (/CE=). Si /WE = alors, il n'y a pas d'écriture. Exemple sur une case mémoire d'un conçue à partir d'une bascule D: Cet exemple montre la logique de sélection, de lecture et d'écriture d'une mémoire vive. /C /W B u s d e d o n n é e s D B u s d e d o n n é e s /O III- LES TYPES DE MEMOIRES A SEMI-CONDUCTEURS. mémoires vives :(RAM) On peut accéder directement à n'importe quelle case avec la même durée, par opposition à des mémoires à accès série (ou séquentiel), ou la durée de l'accès à une case dépend de sa position ou de son adresse. Il existe deux types de mémoires vive: La mémoire vive statique encore appelée SRAM. Elle est rapide et consommatrice d'énergie. L'élément de base est type de la bascule D. La mémoire vive dynamique, encore appelée DRAM. Elle est de grande capacité. L'élément de base est équivalent à un condensateur. L'état de charge ou de décharge du condensateur donne l'état logique du bit mémoire. Comme tout condensateur, celui-ci présente des fuites, et il faut régénérer périodiquement la charge. Pour cela, on met en œuvre un cycle de rafraîchissement qui consiste à lire les cellules mémoire à intervalles de temps fixes et à les réinscrire. M.TAYARI Lassaad Page 5/59 Chapitre 8

53 2. mémoires mortes :(ROM) Il existe plusieurs types de mémoires mortes qui diffèrent par: soit leur structures interne soit le nombre de re-programmations possible. Soit le mode d'effacement. Programmer une mémoire morte consiste à modifier son contenu (c'est une opération d'écriture). On peut citer les types suivantes de mémoires mortes: ROM : Elle sont programmables à la fabrication par le consytructeur. PROM: (Programmable ROM): Elles sont programmables une seule fois par l'utilisateur. EPROM: (ERASABLE PROM): Elles sont programmables plusieurs fois et effaçables par exposition aux ultraviolet à travers une fenêtre réservée à cet effet. L'effacement est global. La programmation nécessite une tension élevée par rapport à la tension d'alimentation.(5.5 V -> 25V). EEPROM: (ELECTICALY EPROM) Elles sont programmables plusieurs fois et effaçables électriquement. On peut effacer le contenu d'une seule case. La programmation d'une case dure environ ms. FLASH EPROM: (EPROM rapide en programmation): Elles sont programmables plusieurs fois et effaçables électriquement. La différence avec les EPROM réside dans la séquence de programmation et le mode d'effacement. On peut effacer un bloc de cases ou toute la mémoire. 3. uelques mémoires mortes courantes: La plupart des constructeurs des mémoires mortes de capacité allant de 2 Koctets à Mocetets. La dénomination de ces mémoires est normalisé: Exemple: Nom générique 27=EPROM C= CMOS 256=capacité en Kbits 27C256-2 Temps d'accès exprimé par ns Ici 2*=2 ns M.TAYARI Lassaad Page 52/59 Chapitre 8

Logique binaire. Aujourd'hui, l'algèbre de Boole trouve de nombreuses applications en informatique et dans la conception des circuits électroniques.

Logique binaire. Aujourd'hui, l'algèbre de Boole trouve de nombreuses applications en informatique et dans la conception des circuits électroniques. Logique binaire I. L'algèbre de Boole L'algèbre de Boole est la partie des mathématiques, de la logique et de l'électronique qui s'intéresse aux opérations et aux fonctions sur les variables logiques.

Plus en détail

IFT1215 Introduction aux systèmes informatiques

IFT1215 Introduction aux systèmes informatiques Introduction aux circuits logiques de base IFT25 Architecture en couches Niveau 5 Niveau 4 Niveau 3 Niveau 2 Niveau Niveau Couche des langages d application Traduction (compilateur) Couche du langage d

Plus en détail

Algèbre binaire et Circuits logiques (2007-2008)

Algèbre binaire et Circuits logiques (2007-2008) Université Mohammed V Faculté des Sciences Département de Mathématiques et Informatique Filière : SMI Algèbre binaire et Circuits logiques (27-28) Prof. Abdelhakim El Imrani Plan. Algèbre de Boole 2. Circuits

Plus en détail

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE I/ GÉNÉRALITÉS I.1/ Fonction Un compteur binaire est utilisé : -pour compter un certain nombre d'évènements binaires -pour diviser la fréquence d'un signal logique par 2 m Page 1 FONCTION COMPTAGE BINAIRE

Plus en détail

VIII- Circuits séquentiels. Mémoires

VIII- Circuits séquentiels. Mémoires 1 VIII- Circuits séquentiels. Mémoires Maintenant le temps va intervenir. Nous avions déjà indiqué que la traversée d une porte ne se faisait pas instantanément et qu il fallait en tenir compte, notamment

Plus en détail

Système binaire. Algèbre booléenne

Système binaire. Algèbre booléenne Algèbre booléenne Système binaire Système digital qui emploie des signaux à deux valeurs uniques En général, les digits employés sont 0 et 1, qu'on appelle bits (binary digits) Avantages: on peut utiliser

Plus en détail

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits Architecture des ordinateurs TD1 - Portes logiques et premiers circuits 1 Rappel : un peu de logique Exercice 1.1 Remplir la table de vérité suivante : a b a + b ab a + b ab a b 0 0 0 1 1 0 1 1 Exercice

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot et Sébastien Viardot Année universitaire 2011-2012 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 C12 Codage des

Plus en détail

MPI Activité.10 : Logique binaire Portes logiques

MPI Activité.10 : Logique binaire Portes logiques MPI Activité.10 : Logique binaire Portes logiques I. Introduction De nombreux domaines font appel aux circuits logiques de commutation : non seulement l'informatique, mais aussi les technologies de l'asservissement

Plus en détail

Modules d automatismes simples

Modules d automatismes simples Modules d automatismes simples Solutions pour automatiser Modules d'automatismes Enfin, vraiment simple! Un concentré de solution Pour vos petites applications d'automatismes millenium gère : Temporisations

Plus en détail

2.4 Représentation graphique, tableau de Karnaugh

2.4 Représentation graphique, tableau de Karnaugh 2 Fonctions binaires 45 2.4 Représentation graphique, tableau de Karnaugh On peut définir complètement une fonction binaire en dressant son tableau de Karnaugh, table de vérité à 2 n cases pour n variables

Plus en détail

Cours Informatique 1. Monsieur SADOUNI Salheddine

Cours Informatique 1. Monsieur SADOUNI Salheddine Cours Informatique 1 Chapitre 2 les Systèmes Informatique Monsieur SADOUNI Salheddine Un Système Informatique lesystème Informatique est composé de deux parties : -le Matériel : constitué de l unité centrale

Plus en détail

RESUME DE COURS ET CAHIER D'EXERCICES

RESUME DE COURS ET CAHIER D'EXERCICES ARCITECTURE INFO-UP REUME DE COUR ET CAIER D'EXERCICE EPITA F. GABON Architecture EPITA INFO-UP F. Gabon COUR LIVRE D ARCITECTURE 3 REUME D'ELECTRONIUE LOGIUE 4 YTEME DE NUMERATION 6 ALGEBRE DE BOOLE 6

Plus en détail

Chapitre 4 : Les mémoires

Chapitre 4 : Les mémoires 1. Introduction: Chapitre 4 : Les mémoires Nous savons que dans un ordinateur toutes les informations : valeur numérique, instruction, adresse, symbole (chiffre, lettre,... etc.) sont manipulées sous une

Plus en détail

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd UE 503 L3 MIAGE Initiation Réseau et Programmation Web La couche physique A. Belaïd abelaid@loria.fr http://www.loria.fr/~abelaid/ Année Universitaire 2011/2012 2 Le Modèle OSI La couche physique ou le

Plus en détail

Les fonctions logiques

Les fonctions logiques 1 Les fonctions logiques Le fonctionnement des ordinateurs tout comme d autres appareils électroniques repose sur l emploi des circuits électroniques de logique binaire ou électronique numérique. Dans

Plus en détail

ELP 304 : Électronique Numérique. Cours 1 Introduction

ELP 304 : Électronique Numérique. Cours 1 Introduction ELP 304 : Électronique Numérique Cours 1 Introduction Catherine Douillard Dépt Électronique Les systèmes numériques : généralités (I) En électronique numérique, le codage des informations utilise deux

Plus en détail

QUESTION 1 {2 points}

QUESTION 1 {2 points} ELE4301 Systèmes logiques II Page 1 de 8 QUESTION 1 {2 points} En se servant de paramètres électriques donnés dans le Tableau 1 ci-dessous, on désire déterminer la fréquence d opération du compteur présenté

Plus en détail

Arithmétique binaire. Chapitre. 5.1 Notions. 5.1.1 Bit. 5.1.2 Mot

Arithmétique binaire. Chapitre. 5.1 Notions. 5.1.1 Bit. 5.1.2 Mot Chapitre 5 Arithmétique binaire L es codes sont manipulés au quotidien sans qu on s en rende compte, et leur compréhension est quasi instinctive. Le seul fait de lire fait appel au codage alphabétique,

Plus en détail

2.1 Le point mémoire statique Le point mémoire statique est fondé sur le bistable, dessiné de manière différente en Figure 1.

2.1 Le point mémoire statique Le point mémoire statique est fondé sur le bistable, dessiné de manière différente en Figure 1. Mémoires RAM 1. LOGIUE STATIUE ET LOGIUE DYNAMIUE Le point mémoire est l élément de base, capable de mémoriser un bit. Il y a deux approches possibles. L approche statique est fondée sur la l'utilisation

Plus en détail

On distingue deux grandes catégories de mémoires : mémoire centrale (appelée également mémoire interne)

On distingue deux grandes catégories de mémoires : mémoire centrale (appelée également mémoire interne) Mémoire - espace destiné a recevoir, conserver et restituer des informations à traiter - tout composant électronique capable de stocker temporairement des données On distingue deux grandes catégories de

Plus en détail

CHAPITRE VIII : Les circuits avec résistances ohmiques

CHAPITRE VIII : Les circuits avec résistances ohmiques CHAPITRE VIII : Les circuits avec résistances ohmiques VIII. 1 Ce chapitre porte sur les courants et les différences de potentiel dans les circuits. VIII.1 : Les résistances en série et en parallèle On

Plus en détail

CHAPITRE VI ALEAS. 6.1.Généralités.

CHAPITRE VI ALEAS. 6.1.Généralités. CHAPITRE VI ALEAS 6.1.Généralités. Lors de la synthèse des systèmes logique (combinatoires ou séquentiels), nous avons supposé, implicitement, qu une même variable secondaire avait toujours la même valeur

Plus en détail

Organisation des Ordinateurs

Organisation des Ordinateurs Organisation des Ordinateurs Bernard Boigelot E-mail : boigelot@montefiore.ulg.ac.be URL : http://www.montefiore.ulg.ac.be/~boigelot/ http://www.montefiore.ulg.ac.be/~boigelot/cours/org/ 1 Chapitre 1 Les

Plus en détail

I- Définitions des signaux.

I- Définitions des signaux. 101011011100 010110101010 101110101101 100101010101 Du compact-disc, au DVD, en passant par l appareil photo numérique, le scanner, et télévision numérique, le numérique a fait une entrée progressive mais

Plus en détail

Cours Premier semestre

Cours Premier semestre C.Belleudy, D.Gaffé Université de Nice-Sophia Antipolis DEUG Première année SM,MP,MI UECS EEA Électronique Numérique Cours Premier semestre C. Belleudy, D.Gaffé version 3. 2 Électronique Numérique Chapitre

Plus en détail

Codage d information. Codage d information : -Définition-

Codage d information. Codage d information : -Définition- Introduction Plan Systèmes de numération et Représentation des nombres Systèmes de numération Système de numération décimale Représentation dans une base b Représentation binaire, Octale et Hexadécimale

Plus en détail

Fiche technique CPU 314SC/DPM (314-6CG13)

Fiche technique CPU 314SC/DPM (314-6CG13) Fiche technique CPU 314SC/DPM (3146CG13) Données techniques N de commande 3146CG13 Type CPU 314SC/DPM Information générale Note Caractéristiques SPEEDBus Technologie SPEED7 24 x DI, 16 x DO, 8 x DIO, 4

Plus en détail

UEO11 COURS/TD 1. nombres entiers et réels codés en mémoire centrale. Caractères alphabétiques et caractères spéciaux.

UEO11 COURS/TD 1. nombres entiers et réels codés en mémoire centrale. Caractères alphabétiques et caractères spéciaux. UEO11 COURS/TD 1 Contenu du semestre Cours et TDs sont intégrés L objectif de ce cours équivalent a 6h de cours, 10h de TD et 8h de TP est le suivant : - initiation à l algorithmique - notions de bases

Plus en détail

Le multiplexage. Sommaire

Le multiplexage. Sommaire Sommaire Table des matières 1- GENERALITES... 2 1-1 Introduction... 2 1-2 Multiplexage... 4 1-3 Transmission numérique... 5 2- LA NUMERATION HEXADECIMALE Base 16... 8 3- ARCHITECTURE ET PROTOCOLE DES RESEAUX...

Plus en détail

Les portes logiques. Voici les symboles des trois fonctions de base. Portes AND. Portes OR. Porte NOT

Les portes logiques. Voici les symboles des trois fonctions de base. Portes AND. Portes OR. Porte NOT Les portes logiques Nous avons jusqu ici utilisé des boutons poussoirs et une lampe pour illustrer le fonctionnement des opérateurs logiques. En électronique digitale, les opérations logiques sont effectuées

Plus en détail

Conversion d un entier. Méthode par soustraction

Conversion d un entier. Méthode par soustraction Conversion entre bases Pour passer d un nombre en base b à un nombre en base 10, on utilise l écriture polynomiale décrite précédemment. Pour passer d un nombre en base 10 à un nombre en base b, on peut

Plus en détail

La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA)

La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA) La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA) I. L'intérêt de la conversion de données, problèmes et définitions associés. I.1. Définitions:

Plus en détail

Définition 0,752 = 0,7 + 0,05 + 0,002 SYSTÈMES DE NUMÉRATION POSITIONNELS = 7 10 1 + 5 10 2 + 2 10 3

Définition 0,752 = 0,7 + 0,05 + 0,002 SYSTÈMES DE NUMÉRATION POSITIONNELS = 7 10 1 + 5 10 2 + 2 10 3 8 Systèmes de numération INTRODUCTION SYSTÈMES DE NUMÉRATION POSITIONNELS Dans un système positionnel, le nombre de symboles est fixe On représente par un symbole chaque chiffre inférieur à la base, incluant

Plus en détail

Logique séquentielle

Logique séquentielle Bascules et logique séquentielle aniel Etiemble de@lri.fr Logique séquentielle Logique séquentielle Le système a des «états» ans un système séquentiel Éléments de mémorisation Les sorties dépendent des

Plus en détail

Informatique Générale

Informatique Générale Informatique Générale Guillaume Hutzler Laboratoire IBISC (Informatique Biologie Intégrative et Systèmes Complexes) guillaume.hutzler@ibisc.univ-evry.fr Cours Dokeos 625 http://www.ens.univ-evry.fr/modx/dokeos.html

Plus en détail

Acquisition et conditionnement de l information Les capteurs

Acquisition et conditionnement de l information Les capteurs Acquisition et conditionnement de l information Les capteurs COURS 1. Exemple d une chaîne d acquisition d une information L'acquisition de la grandeur physique est réalisée par un capteur qui traduit

Plus en détail

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview.

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. Sciences et Technologies de l Industrie et du Développement Durable Formation des enseignants parcours : ET24 Modèle de

Plus en détail

Les techniques de multiplexage

Les techniques de multiplexage Les techniques de multiplexage 1 Le multiplexage et démultiplexage En effet, à partir du moment où plusieurs utilisateurs se partagent un seul support de transmission, il est nécessaire de définir le principe

Plus en détail

TD Architecture des ordinateurs. Jean-Luc Dekeyser

TD Architecture des ordinateurs. Jean-Luc Dekeyser TD Architecture des ordinateurs Jean-Luc Dekeyser Fiche 1 Nombres de l informatique Exercice 1 Une entreprise désire réaliser la sauvegarde de ses données sur un site distant. Le volume de données à sauvegarder

Plus en détail

Mentions légales (non traduites)... 3. 1. Introduction... 4. 2. Légendes... 4. 3. Schémas de raccordement... 5. 4. Configuration de la S16...

Mentions légales (non traduites)... 3. 1. Introduction... 4. 2. Légendes... 4. 3. Schémas de raccordement... 5. 4. Configuration de la S16... 1 2 Table des matières Consignes de sécurité importantes (non traduites)... 3 Mentions légales (non traduites)... 3 Garantie limitée (non traduite)... 3 1. Introduction... 4 2. Légendes... 4 3. Schémas

Plus en détail

IV- Comment fonctionne un ordinateur?

IV- Comment fonctionne un ordinateur? 1 IV- Comment fonctionne un ordinateur? L ordinateur est une alliance du hardware (le matériel) et du software (les logiciels). Jusqu à présent, nous avons surtout vu l aspect «matériel», avec les interactions

Plus en détail

Architecture des ordinateurs Introduction à l informatique

Architecture des ordinateurs Introduction à l informatique Architecture des ordinateurs Introduction à l informatique 17 septembre 2004 1 2 3 4 5 6 Les interrupteurs... 0V 5V Ce sont des composants électroniques qui laissent pser un courant principal lorsque la

Plus en détail

- Instrumentation numérique -

- Instrumentation numérique - - Instrumentation numérique - I.Présentation du signal numérique. I.1. Définition des différents types de signaux. Signal analogique: Un signal analogique a son amplitude qui varie de façon continue au

Plus en détail

Chap17 - CORRECTİON DES EXERCİCES

Chap17 - CORRECTİON DES EXERCİCES Chap17 - CORRECTİON DES EXERCİCES n 3 p528 Le signal a est numérique : il n y a que deux valeurs possibles pour la tension. Le signal b n est pas numérique : il y a alternance entre des signaux divers

Plus en détail

Concevoir son microprocesseur

Concevoir son microprocesseur Concevoir son microprocesseur structure des systèmes logiques Jean-Christophe Buisson Collection Technosup Ellipses Avant-propos Ce livre s adresse aux étudiants en informatique de licence et maîtrise,

Plus en détail

Architecture matérielle des systèmes informatiques

Architecture matérielle des systèmes informatiques Architecture matérielle des systèmes informatiques IDEC, Renens. Version novembre 2003. Avertissement : ce support de cours n est pas destiné à l autoformation et doit impérativement être complété par

Plus en détail

Représentation d un entier en base b

Représentation d un entier en base b Représentation d un entier en base b 13 octobre 2012 1 Prérequis Les bases de la programmation en langage sont supposées avoir été travaillées L écriture en base b d un entier est ainsi défini à partir

Plus en détail

Livret - 1. Informatique : le matériel. --- Ordinateur, circuits, codage, système, réseau. Cours informatique programmation.

Livret - 1. Informatique : le matériel. --- Ordinateur, circuits, codage, système, réseau. Cours informatique programmation. Livret - 1 Informatique : le matériel --- Ordinateur, circuits, codage, système, réseau. RM di scala Cours informatique programmation Rm di Scala - http://www.discala.net SOMMAIRE Introduction 2 Notations

Plus en détail

Auto formation à Zelio logic

Auto formation à Zelio logic Auto formation à Zelio logic 1 Les Produits Félicitations, vous avez choisi l'un des produits Zelio 2 suivants : 2 Environnement Le Zelio Logic est programmable à l'aide du logiciel Zelio Soft ou en Saisie

Plus en détail

Transmissions série et parallèle

Transmissions série et parallèle 1. Introduction : Un signal numérique transmet généralement plusieurs digits binaires. Exemple : 01000001 ( huit bits). Dans une transmission numérique on peut envisager deux modes : les envoyer tous en

Plus en détail

SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION. Contenu du dossier :

SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION. Contenu du dossier : SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION Contenu du dossier : 1. PRESENTATION DU SYSTEME DE PALPAGE A TRANSMISSION RADIO....1 1.1. DESCRIPTION DU FABRICANT....1

Plus en détail

Conception et réalisation d'une pédale MIDI

Conception et réalisation d'une pédale MIDI Conception et réalisation d'une pédale MIDI Origine et historique du projet: Plusieurs dizaines de lycéens de Porto-Vecchio adhèrent au club musique du foyer socio-éducatif et se retrouvent à l'heure du

Plus en détail

Calcul matriciel. Définition 1 Une matrice de format (m,n) est un tableau rectangulaire de mn éléments, rangés en m lignes et n colonnes.

Calcul matriciel. Définition 1 Une matrice de format (m,n) est un tableau rectangulaire de mn éléments, rangés en m lignes et n colonnes. 1 Définitions, notations Calcul matriciel Définition 1 Une matrice de format (m,n) est un tableau rectangulaire de mn éléments, rangés en m lignes et n colonnes. On utilise aussi la notation m n pour le

Plus en détail

Les opérations binaires

Les opérations binaires Les opérations binaires Compétences associées A2 : Analyser et interpréter une information numérique Objectifs Etre capable: - De coder les nombres entiers en code complément à 2. - De résoudre les opérations

Plus en détail

Manipulations du laboratoire

Manipulations du laboratoire Manipulations du laboratoire 1 Matériel Les manipulations de ce laboratoire sont réalisées sur une carte électronique comprenant un compteur 4-bit asynchrone (74LS93) avec possibilité de déclenchement

Plus en détail

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique Architecture d ordinateur : introduction Dimitri Galayko Introduction à l informatique, cours 1 partie 2 Septembre 2014 Association d interrupteurs: fonctions arithmétiques élémentaires Elément «NON» Elément

Plus en détail

Enregistreur de données d humidité et de température

Enregistreur de données d humidité et de température Manuel d utilisation Enregistreur de données d humidité et de température Modèle RHT Introduction Toutes nos félicitations pour votre acquisition de cet enregistreur de données d'humidité et de température.

Plus en détail

1 Introduction au codage

1 Introduction au codage CélestineOscarDésiréAnatoleGastonEugène 1 Introduction au codage 1.1 Les ensembles L ensemble de tout les ensembles est Dieu lui-même. Kantor Ensemble des parties d un ensemble désigne l ensemble des sous-ensembles

Plus en détail

J LOGOSCREEN nt Enregistreur sans papier avec écran TFT et carte CompactFlash. B 70.6580.0 Notice de mise en service 08.

J LOGOSCREEN nt Enregistreur sans papier avec écran TFT et carte CompactFlash. B 70.6580.0 Notice de mise en service 08. J LOGOSCREEN nt Enregistreur sans papier avec écran TFT et carte CompactFlash B 70.6580.0 Notice de mise en service 08.06/00453814 Structure des menus de l enregistreur sans papier v Chapitre 8 «Exploitation

Plus en détail

SUR MODULE CAMÉRA C38A (OV7620)

SUR MODULE CAMÉRA C38A (OV7620) Applications maquette d'étude EP10K20 DÉMULTIPLEXEUR BT.656 SUR MODULE CAMÉRA C38A OV7620 SCHÉMAS ET DESCRIPTIONS AHDL 1. Schéma principal Le démultiplexeur proprement dit est la fonction "Decod_BT656_1".

Plus en détail

Les capteurs et leurs branchements

Les capteurs et leurs branchements bts mi 2 \ COURS\Technologie des capteurs et leurs branchements 1 1. Les Modules Entrées Les capteurs et leurs branchements Module d extension d Entrées/Sorties TOR Module réseau : communication entre

Plus en détail

USTL - Licence ST-A 1ère année 2005-2006 Codage de l information TP 1 :

USTL - Licence ST-A 1ère année 2005-2006 Codage de l information TP 1 : USTL - Licence ST-A 1ère année 2005-2006 Codage de l information TP 1 : Objectifs du TP Ce TP a pour but 1. de découvrir quelques opérations logiques sur les nombres 2. et quelques formats de fichiers.

Plus en détail

Modules d entrées/sorties pour FX série XM07 et XM14

Modules d entrées/sorties pour FX série XM07 et XM14 Fiche produit Modules XM07 et XM14 Date Juillet 2009 Modules d entrées/sorties pour FX série XM07 et XM14 Les modules XM07 et XM14 proposent des points d entrées et de sorties physiques supplémentaires

Plus en détail

Algorithme. Table des matières

Algorithme. Table des matières 1 Algorithme Table des matières 1 Codage 2 1.1 Système binaire.............................. 2 1.2 La numérotation de position en base décimale............ 2 1.3 La numérotation de position en base binaire..............

Plus en détail

Une version javascript sera disponible directement dans le cours prochainement.

Une version javascript sera disponible directement dans le cours prochainement. Author : Cédric Vanconingsloo Ce cours est principalement axé sur la compréhension du fonctionnement d'un ordinateur et l'étude du seul langage qu'il connaisse, le binaire. De ce fait, le cours est relativement

Plus en détail

CLIP. (Calling Line Identification Presentation) Appareil autonome affichant le numéro appelant

CLIP. (Calling Line Identification Presentation) Appareil autonome affichant le numéro appelant 1. Besoin CLIP (Calling Line Identification Presentation) Appareil autonome affichant le numéro appelant ETUDE FONCTIONNELLE De très nombreux abonnés du réseau téléphonique commuté ont exprimé le besoin

Plus en détail

GE Security. KILSEN série KSA700 Centrale de détection et d alarme Incendie analogique adressable. Manuel d utilisation

GE Security. KILSEN série KSA700 Centrale de détection et d alarme Incendie analogique adressable. Manuel d utilisation GE Security KILSEN série KSA700 Centrale de détection et d alarme Incendie analogique adressable Manuel d utilisation g ination imag at work Kilsen is a brand name of GE Security. www.gesecurity.net COPYRIGHT

Plus en détail

Carte Relais GSM (Manuel Utilisateur)

Carte Relais GSM (Manuel Utilisateur) Carte Relais GSM (Manuel Utilisateur) Carte Relais GSM Introduction Cette carte est une véritable centrale de télécommande et d alarme par GSM. Elle se connecte par un port série à un modem GSM compatible

Plus en détail

Enregistreur sans papier. Interface LON. B 95.5010.2.1 Description des interfaces 10.99/00370156

Enregistreur sans papier. Interface LON. B 95.5010.2.1 Description des interfaces 10.99/00370156 Enregistreur sans papier Interface LON B 95.5010.2.1 Description des interfaces 10.99/00370156 Sommaire 1 Introduction 3 1.1 Préambule... 3 1.2 Conventions typographiques... 4 1.2.1 Avertissement... 4

Plus en détail

COMMUNICATION ENTRE DEUX ORDINATEURS PAR LASER MODULE EN CODE MORSE OU BINAIRE.

COMMUNICATION ENTRE DEUX ORDINATEURS PAR LASER MODULE EN CODE MORSE OU BINAIRE. COMMUNICATION ENTRE DEUX ORDINATEURS PAR LASER MODULE EN CODE MORSE OU BINAIRE. RESUME le fichier exécutable «morsebinaire_win_orphygts II_v2.exe», est un logiciel de démonstration permettant de faire

Plus en détail

EXCEL TUTORIEL 2012/2013

EXCEL TUTORIEL 2012/2013 EXCEL TUTORIEL 2012/2013 Excel est un tableur, c est-à-dire un logiciel de gestion de tableaux. Il permet de réaliser des calculs avec des valeurs numériques, mais aussi avec des dates et des textes. Ainsi

Plus en détail

Eléments constitutifs et synthèse des convertisseurs statiques. Convertisseur statique CVS. K à séquences convenables. Source d'entrée S1

Eléments constitutifs et synthèse des convertisseurs statiques. Convertisseur statique CVS. K à séquences convenables. Source d'entrée S1 1 Introduction Un convertisseur statique est un montage utilisant des interrupteurs à semiconducteurs permettant par une commande convenable de ces derniers de régler un transfert d énergie entre une source

Plus en détail

Jeux de caracte res et encodage (par Michel Michaud 2014)

Jeux de caracte res et encodage (par Michel Michaud 2014) Jeux de caracte res et encodage (par Michel Michaud 2014) Les ordinateurs ne traitent que des données numériques. En fait, les codages électriques qu'ils conservent en mémoire centrale ne représentent

Plus en détail

LA MESURE INDUSTRIELLE

LA MESURE INDUSTRIELLE E02 LA MESURE INDUSTRIELLE 20 Heures Technicien responsable de la maintenance Approfondir les techniques de mesure; Prendre en compte l aspect métrologie. Connaître les limites et les facteurs d influences

Plus en détail

Equipement. électronique

Equipement. électronique MASTER ISIC Les générateurs de fonctions 1 1. Avant-propos C est avec l oscilloscope, le multimètre et l alimentation stabilisée, l appareil le plus répandu en laboratoire. BUT: Fournir des signau électriques

Plus en détail

INITIATION AU LANGAGE C SUR PIC DE MICROSHIP

INITIATION AU LANGAGE C SUR PIC DE MICROSHIP COURS PROGRAMMATION INITIATION AU LANGAGE C SUR MICROCONTROLEUR PIC page 1 / 7 INITIATION AU LANGAGE C SUR PIC DE MICROSHIP I. Historique du langage C 1972 : naissance du C dans les laboratoires BELL par

Plus en détail

CONVERTISSEURS NA ET AN

CONVERTISSEURS NA ET AN Convertisseurs numériques analogiques (xo Convertisseurs.doc) 1 CONVTIU NA T AN NOT PLIMINAI: Tous les résultats seront exprimés sous formes littérales et encadrées avant les applications numériques. Les

Plus en détail

SYSTEMES ELECTRONIQUES NUMERIQUES

SYSTEMES ELECTRONIQUES NUMERIQUES BACCALAURÉAT PROFESSIONNEL SYSTEMES ELECTRONIQUES NUMERIQUES (S.E.N.) REF : TASI110 Taxonomie TP Alarme Intrusion type 2 MELODIA 2605 1 je sais de quoi je parle X 2 je sais en parler 3 je sais faire 4

Plus en détail

Leçon 1 : Les principaux composants d un ordinateur

Leçon 1 : Les principaux composants d un ordinateur Chapitre 2 Architecture d un ordinateur Leçon 1 : Les principaux composants d un ordinateur Les objectifs : o Identifier les principaux composants d un micro-ordinateur. o Connaître les caractéristiques

Plus en détail

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE QCM Remarque : - A une question correspond au moins 1 réponse juste - Cocher la ou les bonnes réponses Barème : - Une bonne réponse = +1 - Pas de réponse = 0

Plus en détail

basée sur le cours de Bertrand Legal, maître de conférences à l ENSEIRB www.enseirb.fr/~legal Olivier Augereau Formation UML

basée sur le cours de Bertrand Legal, maître de conférences à l ENSEIRB www.enseirb.fr/~legal Olivier Augereau Formation UML basée sur le cours de Bertrand Legal, maître de conférences à l ENSEIRB www.enseirb.fr/~legal Olivier Augereau Formation UML http://olivier-augereau.com Sommaire Introduction I) Les bases II) Les diagrammes

Plus en détail

Logiciel de Base. I. Représentation des nombres

Logiciel de Base. I. Représentation des nombres Logiciel de Base (A1-06/07) Léon Mugwaneza ESIL/Dépt. Informatique (bureau A118) mugwaneza@univmed.fr I. Représentation des nombres Codage et représentation de l'information Information externe formats

Plus en détail

ELEC2753 Electrotechnique examen du 11/06/2012

ELEC2753 Electrotechnique examen du 11/06/2012 ELEC2753 Electrotechnique examen du 11/06/2012 Pour faciliter la correction et la surveillance, merci de répondre aux 3 questions sur des feuilles différentes et d'écrire immédiatement votre nom sur toutes

Plus en détail

DU BINAIRE AU MICROPROCESSEUR - D ANGELIS CIRCUITS CONFIGURABLES NOTION DE PROGRAMMATION

DU BINAIRE AU MICROPROCESSEUR - D ANGELIS CIRCUITS CONFIGURABLES NOTION DE PROGRAMMATION 145 NOTION DE PROGRAMMATION 1/ Complétons notre microprocesseur Nous avons, dans les leçons précédentes décrit un microprocesseur théorique, cependant il s inspire du 6800, premier microprocesseur conçu

Plus en détail

CORRIGE LES NOMBRES DECIMAUX RELATIFS. «Réfléchir avant d agir!»

CORRIGE LES NOMBRES DECIMAUX RELATIFS. «Réfléchir avant d agir!» Corrigé Cours de Mr JULES v3.3 Classe de Quatrième Contrat 1 Page 1 sur 13 CORRIGE LES NOMBRES DECIMAUX RELATIFS. «Réfléchir avant d agir!» «Correction en rouge et italique.» I. Les nombres décimaux relatifs.

Plus en détail

2. Couche physique (Couche 1 OSI et TCP/IP)

2. Couche physique (Couche 1 OSI et TCP/IP) 2. Couche physique (Couche 1 OSI et TCP/IP) 2.1 Introduction 2.2 Signal 2.3 Support de transmission 2.4 Adaptation du signal aux supports de transmission 2.5 Accès WAN 2.1 Introduction Introduction Rôle

Plus en détail

Partie 7 : Gestion de la mémoire

Partie 7 : Gestion de la mémoire INF3600+INF2610 Automne 2006 Partie 7 : Gestion de la mémoire Exercice 1 : Considérez un système disposant de 16 MO de mémoire physique réservée aux processus utilisateur. La mémoire est composée de cases

Plus en détail

Les liaisons SPI et I2C

Les liaisons SPI et I2C DAMÉCOURT BENJAMIN AVRIL 28 Liaisons synchrones Les liaisons SPI et I2C Face arrière d un imac : trois ports USB, un port Firewire 4 et un port Firewire 8 CHRONOLOGIE ANNÉES 7 La liaison SPI et la création

Plus en détail

Convertisseurs statiques d'énergie électrique

Convertisseurs statiques d'énergie électrique Convertisseurs statiques d'énergie électrique I. Pourquoi des convertisseurs d'énergie électrique? L'énergie électrique utilisée dans l'industrie et chez les particuliers provient principalement du réseau

Plus en détail

GPA770 Microélectronique appliquée Exercices série A

GPA770 Microélectronique appliquée Exercices série A GPA770 Microélectronique appliquée Exercices série A 1. Effectuez les calculs suivants sur des nombres binaires en complément à avec une représentation de 8 bits. Est-ce qu il y a débordement en complément

Plus en détail

CAA/SE N O T I C E D E M O N T A G E E T D U T I L I S A T I O N KIT DE MONTAGE. Clavier lumineux - 100 codes DIGICODE

CAA/SE N O T I C E D E M O N T A G E E T D U T I L I S A T I O N KIT DE MONTAGE. Clavier lumineux - 100 codes DIGICODE CAA/SE Clavier lumineux - 100 codes N O T I C E D E M O N T A G E E T D U T I L I S A T I O N L affichage lumineux par les leds rouge, jaune et verte est toujours opérationnel. Il est possible d avoir

Plus en détail

1. PRESENTATION DU PROJET

1. PRESENTATION DU PROJET Bac STI2D Formation des enseignants Jean-François LIEBAUT Denis PENARD SIN 63 : Prototypage d un traitement de l information analogique et numérique (PSoC) 1. PRESENTATION DU PROJET Les systèmes d éclairage

Plus en détail

SCL LOGICIEL DE CONTROL

SCL LOGICIEL DE CONTROL SCL LOGICIEL DE CONTROL Version 1.3 MRC AUDIO LD- 500 www.mrcaudio.com 1 Contenu 1 - Bienvenu a MRC AUDIO SCL v1.3 2 - Installation du logiciel 3 - Configuration du programme SCL 4 - Contrôle des installations

Plus en détail

VMT Mod : Ventilation modulée pour le tertiaire

VMT Mod : Ventilation modulée pour le tertiaire Notice de Montage VMT Mod : Ventilation modulée pour le tertiaire VC 100876 - D920_a - RCS 956 506 828 - Imprimé en France/Printed in France VMT Mod est un système de ventilation intelligent à destination

Plus en détail

INSTALLATIONS ÉLECTRIQUES CIVILES

INSTALLATIONS ÉLECTRIQUES CIVILES index ALIMENTATION MONOPHASEE ALIMENTATION MONOPHASEE ALIMENTATIONS DL 2101ALA DL 2101ALF MODULES INTERRUPTEURS ET COMMUTATEURS DL 2101T02RM INTERRUPTEUR INTERMEDIAIRE DL 2101T04 COMMUTATEUR INTERMEDIAIRE

Plus en détail

TP Modulation Démodulation BPSK

TP Modulation Démodulation BPSK I- INTRODUCTION : TP Modulation Démodulation BPSK La modulation BPSK est une modulation de phase (Phase Shift Keying = saut discret de phase) par signal numérique binaire (Binary). La phase d une porteuse

Plus en détail

Représentation des Nombres

Représentation des Nombres Chapitre 5 Représentation des Nombres 5. Representation des entiers 5.. Principe des représentations en base b Base L entier écrit 344 correspond a 3 mille + 4 cent + dix + 4. Plus généralement a n a n...

Plus en détail

Créer le schéma relationnel d une base de données ACCESS

Créer le schéma relationnel d une base de données ACCESS Utilisation du SGBD ACCESS Polycopié réalisé par Chihab Hanachi et Jean-Marc Thévenin Créer le schéma relationnel d une base de données ACCESS GENERALITES SUR ACCESS... 1 A PROPOS DE L UTILISATION D ACCESS...

Plus en détail