TP FPGA (1 ère partie)

Dimension: px
Commencer à balayer dès la page:

Download "TP FPGA (1 ère partie)"

Transcription

1 B. HAJJI (1 ère partie) GE4 TP FPGA (1 ère partie) Un compte-rendu devra être fourni à l issue de la seconde séance de TP Exercice1 : Le but de ce premier exercice est de manipuler les E/S sorties classiques de la carte DE1. Dans un premier temps, nous allons nous charger de manipuler les boutons et les LEDs présentes sur la carte. La figure 1 montre un petit programme VHDL permettant d'afficher l'état des boutons sur les LEDs associées. Suivre les étapes suivantes : 1. Créer un nouveau projet Quartus II pour votre circuit. Sélectionner le FPGA Cyclone II EP2C70F896C6 comme étant le circuit cible. 2. Créer une entité VHDL avec le code fourni à la figure Réaliser l'affectation des E/S aux broches du FPGA. Pour se faire, il suffit d'importer le fichier suivant : DE1_pin_assignement.csv. Ce fichier contient la localisation de toutes les broches du FPGA et leur connexion aux éléments de la carte. Attention, les noms donnés dans votre fichier doivent exactement être identiques aux noms des broches donnés dans le fichier.csv LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY top is port( SW: in STD_LOGIC_VECTOR(2 downto 0); LEDR: out STD_LOGIC_VECTOR(2 downto 0)); END top; architecture une OF top IS BEGIN LEDR<=SW ; END une ; TP FPGA Page 1

2 B. HAJJI (1 ère partie) GE4 Exercice 2 : Concevoir l architecture d un composant seven_seg décrit en VHDL permettant de générer les sorties a,b,c,d,e,f,g directement reliées aux entrées d un afficheur. Les chiffres affichés sur l afficheur seront exprimés en BCD (de 0 à 9). Ce composant possèdera les E/S suivantes : entity seven_seg is port( INP: in std_logic_vector(3 downto 0); HEX: out std_logic_vector(6 downto 0)); end seven_seg; Tester ce module en reliant les 4 entrées INP aux boutons présents sur la carte et valider le fonctionnement de votre décodeur. 1. A l'aide de l'outil de QuartusII permettant de visualiser la netlist générée durant l'étape de synthèse, décrivez la manière dont le décodeur a été implantée dans le FPGA. 2. Quelles sont les ressources nécessaires à la mise en oeuvre d'un tel décodeur? Exercice 3 : La figure ci-dessus représente un décodeur 7 segments avec 3 entrées c1, c2 et c3. Le décodeur à 7 sorties qui sont utilisés pour afficher un caractère sur un afficheur 7 segments. La table ci-dessus liste les caractères qui doivent être affiché pour les différentes valeurs de c1,c2 et c3. Les 7 segments de l afficheur sont identifiés par les indices 0 à 6. Chaque segment est allumé lorsque on applique la valeur logique Ecrire le code VHDL de ce décodeur dans un nouveau fichier (char_7seg.vhd). Décrivez ce multiplexeur avec une architecture comportementale en utilisant l affectation sélective. TP FPGA Page 2

3 B. HAJJI (1 ère partie) GE4 2- Rajoutez la sortie HEX0 sur l entité du composant top (top.vhd) qui représente la sortie qui va sur les 7 segments de l afficheur : HEX0 : OUT STD LOGIC VECTOR(0 TO 6); 3- Instancier ce décodeur dans le composant top (top.vhd). Connectez les entrées C1-3 avec Sw2-0 et connectez les sorties de ce décodeur avec HEX0. 4- Compilez le projet et chargez le circuit dans le FPGA. Tester le fonctionnement de ce décodeur en changeant l état des Switch et en observant l afficheur 7 segments. Exercice 4 : Avec le multiplexeur et le décodeur, le circuit ci-dessus permet d afficher un des caractères H, E, L, O et rien sur l afficheur 7 segments. Le code de chaque caractère est sélectionné en modifiant l état des Switchs SW14-0 et le caractère à afficher et choisit avec les Switchs SW Pour cet exercice vous devez afficher le mot HELLO sur 5 afficheurs 7 segments et faire défiler le message avec les switchs SW17-15 comme le montre le tableau ci-dessous : Pour réaliser cette fonction vous devez instancier 5 multiplexeurs et 5 décodeurs et réfléchir aux différentes connectiques à faire entre les Switchs et les entrées des multiplexeurs. 1- Rajoutez les sorties des 4 autres afficheurs 7 segments sur l entité du composant top (top.vhd) qui s appelle respectivement HEX1, HEX2, HEx3 et HEX4 : HEX0,HEX1,HEX2,HEX3,HEX4 : OUT STD LOGIC VECTOR(0 TO 6); 2- Instancier les différents composants nécessaires pour afficher le mot HELLO sur 5 afficheurs et permettre de faire défiler le mot avec les Switch SW17-15 TP FPGA Page 3

4 B. HAJJI (1 ère partie) GE4 comme le montre le tableau cidessus. Pour cela connectez les entrées de SW17-15 avec l entrée de sélection de tout les multiplexeurs et connectez les entrées SW14-0 avec les entrées de tous les multiplexeurs. 3- Compilez le projet et chargez le circuit dans le FPGA. Tester le fonctionnement de ce circuit en configurant correctement le code de chaque caractères sur les Switchs SW14-0 et vérifiez le défilement du mot HELLO avec les Switch SW Exercice 5 : Maintenant on désire faire défiler le mot HELLO sur les 7 afficheurs comme le montre la table cidessus. Modifiez le code de l exercice 4 pour obtenir cette nouvelle fonction et testez sur la carte DE2. TP FPGA Page 4

5 B. HAJJI (2 ère partie) GE4 TP FPGA (2 ère partie) Un compte-rendu devra être fourni à l issue de la seconde séance de TP Exercice 1 : Multiplexeur En électronique, un multiplexeur (ou MUX ) est un dispositif qui choisit un des signaux d'entrée (analogiques ou digitaux) et le dirige dans une seule ligne de sortie. Un multiplexeur permet à plusieurs signaux de partager un seul dispositif coûteux ou une autre ressource (par exemple un convertisseur analogique-digital ou une ligne de communication) plutôt que d'utiliser un dispositif par signal d'entrée. La figure ci-dessous montre le circuit d un multiplexeur 1 bit 2 vers 1 avec une entrée de sélection sel. Si sel = 0 la sortie out du multiplexeur égale à l entrée A, et sel =1 alors la sortie égale à B. Figure 1 : Multiplexeur 1 bit 2 vers 1 1- Ecrire le code VHDL du multiplexeur 1 bit 2 vers 1 dans un nouveau fichier (mux_1bit_2v1.vhd). Décrivez ce multiplexeur avec une architecture comportementale en utilisant l affectation sélective. 2- Compilez le projet et chargez le circuit dans le FPGA pour tester le fonctionnement du multiplexeur. On pourra connecter les entrées du multiplexeur aux Switch et la sortie au led. En connectant plusieurs multiplexeurs 2 vers 1", on peut réaliser des circuits plus complexes. La figure 2 montre un multiplexeur 8 bits 2 vers 1, la figure 3 montre un multiplexeur 5 vers 1 et la figure 4, un multiplexeur 3 bits de 5 vers. Figure 2 : Multiplexeur 8 bits 2 vers 1 TP FPGA Page 1

6 B. HAJJI (2 ère partie) GE4 Figure 3 : Multiplexeur 3 bits 5 vers 1 3- Ecrire le code VHDL du multiplexeur 3 bits 5 vers 1 dans un nouveau fichier (mux_3bit_5v1.vhd). Décrivez ce multiplexeur avec une architecture comportementale en utilisant l affectation sélective. 4- Compilez le projet et chargez le circuit dans le FPGA pour tester le fonctionnement du multiplexeur. On pourra connecter les entrées du multiplexeur aux Switch et la sortie au led. Exercice 2 : Comparateur Un comparateur binaire est un dispositif qui accepte deux mots binaires de 4 bits sous forme parallèle A et B et qui possède des sorties binaires indiquant la relation d ordre entre ces mots : égalité, supériorité ou infériorité. 1- Combien de signaux de sortie sont-ils nécessaires? 2- Faire le schéma synoptique du système. 3- Coder un comparateur binaire à mots de 4 bits. Les sorties seront visualisées sur des diodes. Exercice 3 : Additionneur I. Conception d un additionneur complet L objectif de cette partie est de concevoir puis implanter un additionneur complet (FULL ADDER ) dans le FPGA CYCLONE présent sur la carte Altera DE1. Rappel : Un additionneur complet est constitué de deux demi-additionneurs dont la structure est représentée Figure1. Le schéma d un additionneur complet est donné Figure 2. Ce composant admet 3 entrées : A, B, Ci et 2 sorties : S et Co. TP FPGA Page 2

7 B. HAJJI (2 ère partie) GE4 Figure1 : Schéma d un demi-additionneur (HALF ADDER) Figure 2 : Schéma d un additionneur complet(full ADDER) a) Description en VHDL Concevoir l entité FULL_ADDER ainsi que l architecture associée. Tester son fonctionnement en l implantant directement dans le FPGA. On pourra connecter les entrées de l additionneur aux boutons et les sorties aux leds. b) Synthèse et Implantation Implanter le FULL_ADDER dans le CYCLONE et en déduire les ressources utilisées à l aide des différents rapports générés: Quels nombres de LE et de LUTs sont nécessaires pour implanter le design? Quels sont les «pires» temps de propagation des signaux au sein du circuit? A l aide de l éditeur de ressources (FLOORPLANNER), vérifier le contenu des différentes LUTs ainsi que le contenu des IOBs. Justifier le contenu des LUTs et en déduire le fonctionnement correct de votre circuit. II. Conception d un additionneur parallèle 4 bits A l aide de votre composant FULL_ADDER, concevoir un additionneur parallèle 4 bits et expliquer le fonctionnement. En déduire la représentation structurelle et implanter l additionneur sur la carte. III. Conception d un additionneur 8 bits avec registres A partir de l additionneur réalisé dans l exercice 4, créer une nouvelle version de l additionneur permettant d effectuer des sommes sur des nombres codés en complément à 2. Une sortie Overflow devra également être prévue lorsque la somme produite par l additionneur ne sera pas correcte. TP FPGA Page 3

8 B. HAJJI (2 ère partie) GE4 La représentation structurelle de l additionneur est fournie à la figure 3. Figure 3 : Représentation de l additionneur 8 bits à réaliser. Les E/S passent par des registres. a) Inclure les nouveaux ports d E/S dans votre projet. Connecter les entrées A et B aux 2 registres et connecter les registres aux boutons SW7 à SW0. Utiliser KEY(0) comme une entrée RESET asynchrone, KEY(1) comme une entrée d horloge manuelle, KEY(2) et KEY(3) comme entrée ENABLE des registres d entrée. Afficher A et B sur les leds (A sur LEDR et B sur LEDG) et afficher le résultat de la somme sur les afficheurs 7 segments. b) A l aide des outils fournis par Quartus, déterminer le nombre de ressources nécessaires à la réalisation d un tel additionneur. Quelle est la fréquence maximale d utilisation du circuit? Pourquoi? IV. Additionneurs prédéfinis par Alteras Répeter l exercice 5 en utilisant cette fois une des additionneurs prédéfinis par Altera. Pour se faire, sélectionner le menu Tools puis MegaWizard Plug_in Manager. Editer puis générer le composant lpm_add_sub. Ne pas oublier de l intégrer dans votre projet. Après avoir instancié ce composant dans votre code VHDL, compiler le projet et utiliser l outil Chip planner de QuartusII pour examiner les détails de l implantation. Une manière d examiner le circuit sous Chip planner est donnée à la figure 4. TP FPGA Page 4

9 B. HAJJI (2 ère partie) GE4 Dans la fenêtre du navigateur de projets, cliquez droit sur le composant de la hiérarchie nommé lpm_add8 et sélectionner la commande Locate->Locate in Chip planner. Ceci permet d ouvrir la fenêtre correspondante. Positionner ensuite la souris sur les éléments logiques et double-cliquer pour ouvrir l éditeur de ressources. Que constatez vous? Quelle(s) différences pouvez vous déceler avec l implantation de l exercice 5. Quelle est la nouvelle fréquence max de votre circuit? Figure 4 : Localisation de l additionneur 8 bits dans l outil Chip Planner Figure 5 : Les éléments en surbrillance sont les composants de l additionneur TP FPGA Page 5

10 B. HAJJI (3 ère partie) GE4 TP FPGA (3 ère partie) Un compte-rendu devra être fourni à l issue de la seconde séance de TP Exercice 1 : D flip-flip Le tableau 1 présente les ports de la bascule D flip-flop. L entrée D est mémorisée à chaque front montant du signal d horloge clk. Signal Type Direction Fonction d std_logic Input Data input clk std_logic Input Clock q std_logic Output Data output Tableau 1 : Description des signaux de la bascule D 1- Ecrire un programme en VHDL de la bascule D flip-flop. 2- Compilez le projet et chargez le circuit dans le FPGA pour tester le fonctionnement de la bascule D flip-flop. On pourra connecter les entrées de la bascule aux Switch et la sortie au led 3- Même travail demandé mais cette fois-ci pour une bascule D flip-flop avec Reset Asynchrone et Synchrone. Exercice 2 : Registre à décalage 1- Décrire en VHDL un registre à décalage 4-bit, utilisant les bascules D Flip-flop, présenté par le schéma ci-dessous : 2- Compiler le tester le fonctionnement de ce circuit. Exercice 3 : Compteur 1- Réaliser un compteur sur 4 bits par description comportementale. 2- Rajouter une entrée de remise à zéro asynchrone, puis synchrone. TP FPGA Page 1

11 B. HAJJI (3 ère partie) GE4 Les sorties seront d abord visualisées sur des LED puis sur un afficheur 7 segments. Exercice 4 : Diviseur de fréquence On cherche à concevoir un diviseur d horloge permettant de diviser la fréquence d une horloge d entrée par 128. Pour se faire, on souhaite utiliser un compteur. Ce compteur possèdera : - Une entrée CLK - Une entrée RESET, synchrone, permettant la mise à 0 du compteur - Une entrée CE, permettant la validation du comptage 1) Quelle doit être la taille du compteur? 2) Ecrire un programme en VHDL de ce circuit et tester les fonctionnalités du compteur TP FPGA Page 2

12 VHDL FPGA-Travaux Pratiques ENSA-Oujda TP n 4 : Conception d un mini-calculateur Le TP sera effectué sur 3 séances. Un compte rendu devra être fourni à l issue de ces séances. 1. Introduction Le but de ce TP est de concevoir le mini-calculateur suivant basé sur une unité arithmétique et logique et permettant d effectuer des opérations sur des nombres de 4 bits. Le dispositif à réaliser est décrit à la figure 1. A 4 UAL CE R0 CE R1 B 4 CE R2 Res 3 CE R3 instruction 8 contrôleur clk Figure 1 : Schéma bloc du calculateur à réaliser Le circuit permet d effectuer des calculs sur des opérandes de 4 bits et autorise le stockage des résultats dans 4 registres de 4 bits (R0,R1,R2,R3). L opérande A peut être issue d une entrée du circuit ou venir d un des 4 registres. Une instruction sur 8 bits permet d indiquer l opération à réaliser. Elle possède le format suivant : Numéro Reg destination Numéro Reg source Code_op Res <= A op B Res<= Ri op B B. HAJJI Page 1

13 VHDL FPGA-Travaux Pratiques ENSA-Oujda Le champ Numéro Registre destination permet de sélectionner les registre dans lequel le résultat de l UAL sera stocké. Ce champ est stocké sur les bits (7 et 6) de l instruction. Le numéro de registre est désigné comme suit : Instruction(7) Instruction(6) Registre désigné 0 0 R0 0 1 R1 1 0 R2 1 1 R3 Le champ Numero Registre source permet de sélectionneur le registre dans lequel une donnée servira à effectuer un calcul (avec l opérande B). Ce champ est stocké sur les bits (5 et 4) de l instruction. Le numéro de registre est désigné comme suit : Instruction(5) Instruction(4) Registre désigné 0 0 R0 0 1 R1 1 0 R2 1 1 R3 Le bit 3 d une instruction permet de préciser si l on souhaite effectuer une opération sur les entrées A et B ou sur B et une donnée provenant du registre dont l adresse est spécifiée dans le champ Numero registre source. Le champ CodeOP est codé sur 3 bits et sert à indiquer la nature de l opération à réaliser : CodeOP Opération à réaliser 000 RES<= A ET B 001 RES<= A NON ET B 010 RES<= A OU B 011 RES<= A NON OU B 100 RES<=A XOR B 101 RES<=NOT A 110 RES<=A+B 111 RES<=A-B Par exemple, si CodeOP=111, alors l UAL effectuera une soustraction de A et B. Exemple d instruction : L instruction suivante : signifie R1 <= R1 B signifie R2<= A xor B B. HAJJI Page 2

14 VHDL FPGA-Travaux Pratiques ENSA-Oujda 2. Conception de l Unité arithmétique et logique L UAL possèdera les entrées sorties suivantes : A B 4 4 CodeOP 4 RES A_SUP_B A_INF_B A_EGAL_B OVFL ZERO NEG A, B sont les opérandes sur 4 bits. Res est le résultat des opérations. A_SUP_B est une sortie permettant d indiquer si A>B. Elle sera à l état HAUT si A>B et à l état BAS dans le cas contraire. A_INF_B est une sortie permettant d indiquer si A<B. Elle sera à l état HAUT si A<B et à l état BAS dans le cas contraire. A_EGAL_B est une sortie permettant d indiquer si A=B. Elle sera à l état HAUT si A>B et à l état BAS dans le cas contraire. OVFL est une sortie permettant d indiquer si l opération effectue un débordement de capacité. Elle sera à l état HAUT si tel est le cas, à l état BAS sinon. ZERO est une sortie permettant d indiquer si le résultat est nul. Elle sera à l état HAUT si tel est le cas, à l état BAS sinon. NEG est une sortie permettant d indiquer si le résultat est négatif. Elle sera à l état HAUT si tel est le cas, à l état BAS sinon. a. Conception d un comparateur (4 bits) 1) Décrire un composant comparateur prenant deux entrées sur 4 bits, et générant 3 sorties A_SUP_B, A_INF_B et A_EGAL_B 2) Simuler le fonctionnement du composant en réalisant le testbench adéquat. b. Conception de l UAL 1) A partir des différents circuits réalisés dans les parties (a et b), décrire l UAL complète en VHDL. 2) Concevoir le fichier testbench permettant de générer les stimuli à appliquer à l UAL 3) Simuler l ensemble de l UAL et vérifier son fonctionnement B. HAJJI Page 3

15 VHDL FPGA-Travaux Pratiques ENSA-Oujda 3. Conception des registres Concevoir un registre 4 bits avec entrée clock_enable synchrone et une entrée CLR asynchrone. Ecrire un test_bench permettant de valider le fonctionnement du registre. 4. Conception des multiplexeurs et demultiplexeurs A partir du schéma fourni à la figure 1, concevoir les multiplexeurs et démultiplexeurs adéquats. Concevoir les tests-benchs associés. 5. Conception du décodeur Concevoir un circuit de décodage permettant de générer les signaux de sélection des multiplexeurs/démultiplexeurs, ainsi que les entrée CE des registres en fonction du type d instruction. 6. Conception Finale Regrouper tous les éléments construits dans les sections 1 à 5 et concevoir le minicalculateur Prendre soin de bien effectuer un test-bench pour valider le fonctionnement final. B. HAJJI Page 4

SIN-FPGA DESCRIPTION PAR SCHEMA

SIN-FPGA DESCRIPTION PAR SCHEMA SIN-FPGA DESCRIPTION PAR SCHEMA Documents ressources: http://www.altera.com/literature/lit-index.html Introduction to Quartus II : intro_to_quartus2.pdf Documentation QUARTUS II : quartusii_handbook.pdf

Plus en détail

Manipulations du laboratoire

Manipulations du laboratoire Manipulations du laboratoire 1 Matériel Les manipulations de ce laboratoire sont réalisées sur une carte électronique comprenant un compteur 4-bit asynchrone (74LS93) avec possibilité de déclenchement

Plus en détail

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE I/ GÉNÉRALITÉS I.1/ Fonction Un compteur binaire est utilisé : -pour compter un certain nombre d'évènements binaires -pour diviser la fréquence d'un signal logique par 2 m Page 1 FONCTION COMPTAGE BINAIRE

Plus en détail

IFT1215 Introduction aux systèmes informatiques

IFT1215 Introduction aux systèmes informatiques Introduction aux circuits logiques de base IFT25 Architecture en couches Niveau 5 Niveau 4 Niveau 3 Niveau 2 Niveau Niveau Couche des langages d application Traduction (compilateur) Couche du langage d

Plus en détail

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits Architecture des ordinateurs TD1 - Portes logiques et premiers circuits 1 Rappel : un peu de logique Exercice 1.1 Remplir la table de vérité suivante : a b a + b ab a + b ab a b 0 0 0 1 1 0 1 1 Exercice

Plus en détail

Le langage VHDL. Eduardo Sanchez EPFL

Le langage VHDL. Eduardo Sanchez EPFL Le langage VHDL Eduardo Sanchez EPFL Livres conseillés: John F. Wakerly Digital design (4th edition) Prentice Hall, 2005 Peter J. Ashenden The designer's guide to VHDL (3rd edition) Morgan Kaufmann, 2008

Plus en détail

QUESTION 1 {2 points}

QUESTION 1 {2 points} ELE4301 Systèmes logiques II Page 1 de 8 QUESTION 1 {2 points} En se servant de paramètres électriques donnés dans le Tableau 1 ci-dessous, on désire déterminer la fréquence d opération du compteur présenté

Plus en détail

ASR1 TD7 : Un microprocesseur RISC 16 bits

ASR1 TD7 : Un microprocesseur RISC 16 bits {Â Ö Ñ º ØÖ Ý,È ØÖ ºÄÓ Ù,Æ ÓÐ ºÎ ÝÖ Ø¹ ÖÚ ÐÐÓÒ} Ò ¹ÐÝÓÒº Ö ØØÔ»»Ô Ö Óº Ò ¹ÐÝÓÒº Ö» Ö Ñ º ØÖ Ý»¼ Ö½» ASR1 TD7 : Un microprocesseur RISC 16 bits 13, 20 et 27 novembre 2006 Présentation générale On choisit

Plus en détail

VIII- Circuits séquentiels. Mémoires

VIII- Circuits séquentiels. Mémoires 1 VIII- Circuits séquentiels. Mémoires Maintenant le temps va intervenir. Nous avions déjà indiqué que la traversée d une porte ne se faisait pas instantanément et qu il fallait en tenir compte, notamment

Plus en détail

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS SOMMAIRE I. Le VHDL pour qui, pourquoi, quand, comment? A. Le VHDL!...... 1 B. Pourquoi un langage de description?...... 1 C. Les limites actuelles...... 2

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2011-2012 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques WIDEMACV1 LAAS-CNRS 2011 Présentation du simulateur VHDL sous environnement Cadence Présentation

Plus en détail

Architecture des ordinateurs

Architecture des ordinateurs Architecture des ordinateurs Cours 4 5 novembre 2012 Archi 1/22 Micro-architecture Archi 2/22 Intro Comment assembler les différents circuits vus dans les cours précédents pour fabriquer un processeur?

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2008-2009 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques Pentium4 Présentation du simulateur VHDL sous environnement Cadence Présentation de l outil Synopsys

Plus en détail

CONFIGURATION DE L AUTOMATE SIEMENS

CONFIGURATION DE L AUTOMATE SIEMENS CONFIGURATION DE L AUTOMATE SIEMENS Créer un projet Dans le bureau de Windows, double-cliquer sur l icône «SIMATIC Manager» : Cliquer ensuite sur l icône «nouveau» : Choisir un nom de projet et valider

Plus en détail

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE ARDUINO DOSSIER RESSOURCE POUR LA CLASSE Sommaire 1. Présentation 2. Exemple d apprentissage 3. Lexique de termes anglais 4. Reconnaître les composants 5. Rendre Arduino autonome 6. Les signaux d entrée

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot Année universitaire 2014-2015 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 Codage des nombres en base 2, logique

Plus en détail

MICROINFORMATIQUE NOTE D APPLICATION 1 (REV. 2011) ARITHMETIQUE EN ASSEMBLEUR ET EN C

MICROINFORMATIQUE NOTE D APPLICATION 1 (REV. 2011) ARITHMETIQUE EN ASSEMBLEUR ET EN C Haute Ecole d Ingénierie et de Gestion Du Canton du Vaud MICROINFORMATIQUE NOTE D APPLICATION 1 (REV. 2011) ARITHMETIQUE EN ASSEMBLEUR ET EN C Programmation en mode simulation 1. DOCUMENTS DE RÉFÉRENCE...

Plus en détail

Représentation des Nombres

Représentation des Nombres Chapitre 5 Représentation des Nombres 5. Representation des entiers 5.. Principe des représentations en base b Base L entier écrit 344 correspond a 3 mille + 4 cent + dix + 4. Plus généralement a n a n...

Plus en détail

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview.

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. Sciences et Technologies de l Industrie et du Développement Durable Formation des enseignants parcours : ET24 Modèle de

Plus en détail

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE QCM Remarque : - A une question correspond au moins 1 réponse juste - Cocher la ou les bonnes réponses Barème : - Une bonne réponse = +1 - Pas de réponse = 0

Plus en détail

Atelier C TIA Portal CTIA04 : Programmation des automates S7-300 Opérations numériques

Atelier C TIA Portal CTIA04 : Programmation des automates S7-300 Opérations numériques Atelier C TIA Portal CTIA04 : Programmation des automates S7-300 Opérations numériques CTIA04 Page 1 1. Les types de données sous S7 300 Il existe plusieurs types de données utilisées pour la programmation

Plus en détail

Fiche technique CPU 314SC/DPM (314-6CG13)

Fiche technique CPU 314SC/DPM (314-6CG13) Fiche technique CPU 314SC/DPM (3146CG13) Données techniques N de commande 3146CG13 Type CPU 314SC/DPM Information générale Note Caractéristiques SPEEDBus Technologie SPEED7 24 x DI, 16 x DO, 8 x DIO, 4

Plus en détail

Relais d'arrêt d'urgence, protecteurs mobiles

Relais d'arrêt d'urgence, protecteurs mobiles PNOZ Relais jusqu'en d'arrêt 11 catégorie d'urgence, 4, EN 954-1 protecteurs mobiles Bloc logique de sécurité pour la surveillance de poussoirs d'arrêt d'urgence et de protecteurs mobiles Homologations

Plus en détail

ELP 304 : Électronique Numérique. Cours 1 Introduction

ELP 304 : Électronique Numérique. Cours 1 Introduction ELP 304 : Électronique Numérique Cours 1 Introduction Catherine Douillard Dépt Électronique Les systèmes numériques : généralités (I) En électronique numérique, le codage des informations utilise deux

Plus en détail

! analyse du fonctionnement

! analyse du fonctionnement Coloreau chaude MT V P1 V MT! Le composant repéré TH1 sur le schéma structurel et une thermistance. Son rôle est de détecter une grandeur physique la température, et de la convertir en une grandeur électrique

Plus en détail

REALISATION D UNE CALCULATRICE GRACE AU LOGICIEL CROCODILE CLIPS 3.

REALISATION D UNE CALCULATRICE GRACE AU LOGICIEL CROCODILE CLIPS 3. 1 sur 6 REALISATION D UNE CALCULATRICE GRACE AU LOGICIEL CROCODILE CLIPS 3. OBJECTIF - PUBLIC - LOGICIEL - MATERIEL - METHODE - BIBLIOGRAPHIE - AVANTAGES - DIFFICULTES - AUTEUR DU DOCUMENT - LE DOCUMENT

Plus en détail

Microprocesseur + Logiciel

Microprocesseur + Logiciel Microprocesseur + Logiciel Robot EVALBOT MOHAMED AKIL BUREAU 5253 UNITE IGI 1001 PROGRAMMATION DES MICROPROCESSEURS Présentation [IGI1001] CONTEXTE SCIENTIFIQUE... 4 1. OBJECTIFS DE L UNITE... 6 2. OBJECTIFS

Plus en détail

Flowcode - Mode d'emploi

Flowcode - Mode d'emploi Flowcode - Mode d'emploi Sommaire I) Introduction Introduction 4 Nouveautés de la version 2 5 Les microcontrôleurs PIC 5 Support technique 7 II) Pour commencer Concevoir un algorigramme pour un composant

Plus en détail

Logique binaire. Aujourd'hui, l'algèbre de Boole trouve de nombreuses applications en informatique et dans la conception des circuits électroniques.

Logique binaire. Aujourd'hui, l'algèbre de Boole trouve de nombreuses applications en informatique et dans la conception des circuits électroniques. Logique binaire I. L'algèbre de Boole L'algèbre de Boole est la partie des mathématiques, de la logique et de l'électronique qui s'intéresse aux opérations et aux fonctions sur les variables logiques.

Plus en détail

Transmission d informations sur le réseau électrique

Transmission d informations sur le réseau électrique Transmission d informations sur le réseau électrique Introduction Remarques Toutes les questions en italique devront être préparées par écrit avant la séance du TP. Les préparations seront ramassées en

Plus en détail

TP Modulation Démodulation BPSK

TP Modulation Démodulation BPSK I- INTRODUCTION : TP Modulation Démodulation BPSK La modulation BPSK est une modulation de phase (Phase Shift Keying = saut discret de phase) par signal numérique binaire (Binary). La phase d une porteuse

Plus en détail

PIC EVAL Dev Board PIC18F97J60

PIC EVAL Dev Board PIC18F97J60 PIC EVAL Dev Board PIC18F97J60 2 TP1 : Prise en main de l environnement de programmation pour la carte PIC EVAL-ANFA Pour répondre aux questions et justifier vos réponses, vous pouvez faire des copies

Plus en détail

Cours Informatique 1. Monsieur SADOUNI Salheddine

Cours Informatique 1. Monsieur SADOUNI Salheddine Cours Informatique 1 Chapitre 2 les Systèmes Informatique Monsieur SADOUNI Salheddine Un Système Informatique lesystème Informatique est composé de deux parties : -le Matériel : constitué de l unité centrale

Plus en détail

Les fonctions logiques

Les fonctions logiques 1 Les fonctions logiques Le fonctionnement des ordinateurs tout comme d autres appareils électroniques repose sur l emploi des circuits électroniques de logique binaire ou électronique numérique. Dans

Plus en détail

USTL - Licence ST-A 1ère année 2005-2006 Codage de l information TP 1 :

USTL - Licence ST-A 1ère année 2005-2006 Codage de l information TP 1 : USTL - Licence ST-A 1ère année 2005-2006 Codage de l information TP 1 : Objectifs du TP Ce TP a pour but 1. de découvrir quelques opérations logiques sur les nombres 2. et quelques formats de fichiers.

Plus en détail

Le langage C. Séance n 4

Le langage C. Séance n 4 Université Paris-Sud 11 Institut de Formation des Ingénieurs Remise à niveau INFORMATIQUE Année 2007-2008 Travaux pratiques d informatique Le langage C Séance n 4 But : Vous devez maîtriser à la fin de

Plus en détail

Quoi de neuf en LabVIEW FPGA 2010?

Quoi de neuf en LabVIEW FPGA 2010? Quoi de neuf en LabVIEW FPGA 2010? Yannick DEGLA Ingénieur d Application Fonctionnalités de LabVIEW FPGA 2010 Nœud d intégration d IP - Importer directement des fichiers.xco de Xilinx ou vos propres VHDL

Plus en détail

Relais d'arrêt d'urgence, protecteurs mobiles

Relais d'arrêt d'urgence, protecteurs mobiles Gertebild ][Bildunterschrift Bloc logique de sécurité pour la surveillance de boutons-poussoirs de arrêt d'urgence et de protecteurs mobiles Homologations Caractéristiques des appareils Gertemerkmale Sorties

Plus en détail

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3. SIMULATION 7 " - 4.

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & '  ( ) '*+ , ##) #  -. /0  1 2  3. SIMULATION 7  - 4. TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3! " #$ % & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3' & 3. SIMULATION 7 0 ( 0, - 0 - " - & 1 4. LA SOURIS 11 5. LES RACCOURCIS CLAVIER 11 STI Electronique

Plus en détail

CONVERTISSEURS NA ET AN

CONVERTISSEURS NA ET AN Convertisseurs numériques analogiques (xo Convertisseurs.doc) 1 CONVTIU NA T AN NOT PLIMINAI: Tous les résultats seront exprimés sous formes littérales et encadrées avant les applications numériques. Les

Plus en détail

Conversion d un entier. Méthode par soustraction

Conversion d un entier. Méthode par soustraction Conversion entre bases Pour passer d un nombre en base b à un nombre en base 10, on utilise l écriture polynomiale décrite précédemment. Pour passer d un nombre en base 10 à un nombre en base b, on peut

Plus en détail

DU BINAIRE AU MICROPROCESSEUR - D ANGELIS CIRCUITS CONFIGURABLES NOTION DE PROGRAMMATION

DU BINAIRE AU MICROPROCESSEUR - D ANGELIS CIRCUITS CONFIGURABLES NOTION DE PROGRAMMATION 145 NOTION DE PROGRAMMATION 1/ Complétons notre microprocesseur Nous avons, dans les leçons précédentes décrit un microprocesseur théorique, cependant il s inspire du 6800, premier microprocesseur conçu

Plus en détail

NOTICE D' UTILISATION CAMWORKS FRAISAGE. Luc Vallée Lycée Blaise Pascal Segré

NOTICE D' UTILISATION CAMWORKS FRAISAGE. Luc Vallée Lycée Blaise Pascal Segré NOTICE D' UTILISATION Luc Vallée Lycée Blaise Pascal Segré FRAISAGE SOMMAIRE allée Sciences et techniques Fiche n 1 - Généralités principe....page 3 Fiche n 2 - Lancer une application fraisage...page 7

Plus en détail

Cours 1 : Qu est-ce que la programmation?

Cours 1 : Qu est-ce que la programmation? 1/65 Introduction à la programmation Cours 1 : Qu est-ce que la programmation? Yann Régis-Gianas yrg@pps.univ-paris-diderot.fr Université Paris Diderot Paris 7 2/65 1. Sortez un appareil qui peut se rendre

Plus en détail

I- Définitions des signaux.

I- Définitions des signaux. 101011011100 010110101010 101110101101 100101010101 Du compact-disc, au DVD, en passant par l appareil photo numérique, le scanner, et télévision numérique, le numérique a fait une entrée progressive mais

Plus en détail

V- Manipulations de nombres en binaire

V- Manipulations de nombres en binaire 1 V- Manipulations de nombres en binaire L ordinateur est constitué de milliards de transistors qui travaillent comme des interrupteurs électriques, soit ouverts soit fermés. Soit la ligne est activée,

Plus en détail

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007 Introduction à l architecture des ordinateurs Adrien Lebre Décembre 2007 Plan - partie 1 Vue d ensemble La carte mère Le processeur La mémoire principal Notion de bus Introduction à l architecture des

Plus en détail

Installation et prise en main

Installation et prise en main TP1 Installation et prise en main Android est le système d'exploitation pour smartphones, tablettes et autres appareils développé par Google. Pour permettre aux utilisateurs d'installer des applications

Plus en détail

Travaux pratiques 1.3.2 Détermination de la capacité de stockage des données

Travaux pratiques 1.3.2 Détermination de la capacité de stockage des données Travaux pratiques 1.3.2 Détermination de la capacité de stockage des données Objectifs Déterminer la quantité de mémoire RAM (en Mo) installée sur un ordinateur Déterminer la taille de l unité de disque

Plus en détail

Chapitre VI- La validation de la composition.

Chapitre VI- La validation de la composition. Chapitre VI- La validation de la composition. Objectifs du chapitre : Expliquer les conséquences de l utilisation de règles de typage souples dans SEP. Présenter le mécanisme de validation des connexions

Plus en détail

Carte Relais GSM (Manuel Utilisateur)

Carte Relais GSM (Manuel Utilisateur) Carte Relais GSM (Manuel Utilisateur) Carte Relais GSM Introduction Cette carte est une véritable centrale de télécommande et d alarme par GSM. Elle se connecte par un port série à un modem GSM compatible

Plus en détail

GE Security. KILSEN série KSA700 Centrale de détection et d alarme Incendie analogique adressable. Manuel d utilisation

GE Security. KILSEN série KSA700 Centrale de détection et d alarme Incendie analogique adressable. Manuel d utilisation GE Security KILSEN série KSA700 Centrale de détection et d alarme Incendie analogique adressable Manuel d utilisation g ination imag at work Kilsen is a brand name of GE Security. www.gesecurity.net COPYRIGHT

Plus en détail

Cours 1 : Introduction Ordinateurs - Langages de haut niveau - Application

Cours 1 : Introduction Ordinateurs - Langages de haut niveau - Application Université de Provence Licence Math-Info Première Année V. Phan Luong Algorithmique et Programmation en Python Cours 1 : Introduction Ordinateurs - Langages de haut niveau - Application 1 Ordinateur Un

Plus en détail

Organisation des Ordinateurs

Organisation des Ordinateurs Organisation des Ordinateurs Bernard Boigelot E-mail : boigelot@montefiore.ulg.ac.be URL : http://www.montefiore.ulg.ac.be/~boigelot/ http://www.montefiore.ulg.ac.be/~boigelot/cours/org/ 1 Chapitre 1 Les

Plus en détail

MANUEL D INSTRUCTION

MANUEL D INSTRUCTION MANUEL D INSTRUCTION ---------- Régulateur de Charge Solaire pour deux batteries, Pour Caravanes, Camping-Cars & Bateaux Courant (12V or 12/24V automatique) NOTES: Utilisable seulement avec des panneaux

Plus en détail

Mini_guide_Isis.pdf le 23/09/2001 Page 1/14

Mini_guide_Isis.pdf le 23/09/2001 Page 1/14 1 Démarrer...2 1.1 L écran Isis...2 1.2 La boite à outils...2 1.2.1 Mode principal...3 1.2.2 Mode gadgets...3 1.2.3 Mode graphique...3 2 Quelques actions...4 2.1 Ouvrir un document existant...4 2.2 Sélectionner

Plus en détail

ENDNOTE X2 SOMMAIRE. 1. La bibliothèque EndNote 1.1. Créer une nouvelle bibliothèque 1.2. Ouvrir une bibliothèque EndNote 1.3. Fermer une bibliothèque

ENDNOTE X2 SOMMAIRE. 1. La bibliothèque EndNote 1.1. Créer une nouvelle bibliothèque 1.2. Ouvrir une bibliothèque EndNote 1.3. Fermer une bibliothèque 1 ENDNOTE X2 SOMMAIRE 1. La bibliothèque EndNote 1.1. Créer une nouvelle bibliothèque 1.2. Ouvrir une bibliothèque EndNote 1.3. Fermer une bibliothèque 2. Manipuler une bibliothèque EndNote 2.1. La saisie

Plus en détail

SoMachine. Solution logicielle pour votre architecture Machine Atelier de découverte. SoMachine

SoMachine. Solution logicielle pour votre architecture Machine Atelier de découverte. SoMachine Solution logicielle pour votre architecture Machine Atelier de découverte SoMachine Objectif de la manipulation Le programme à réaliser va permettre de gérer le remplissage et la vidange d une cuve en

Plus en détail

IV- Comment fonctionne un ordinateur?

IV- Comment fonctionne un ordinateur? 1 IV- Comment fonctionne un ordinateur? L ordinateur est une alliance du hardware (le matériel) et du software (les logiciels). Jusqu à présent, nous avons surtout vu l aspect «matériel», avec les interactions

Plus en détail

Informatique Générale

Informatique Générale Informatique Générale Guillaume Hutzler Laboratoire IBISC (Informatique Biologie Intégrative et Systèmes Complexes) guillaume.hutzler@ibisc.univ-evry.fr Cours Dokeos 625 http://www.ens.univ-evry.fr/modx/dokeos.html

Plus en détail

Université de La Rochelle. Réseaux TD n 6

Université de La Rochelle. Réseaux TD n 6 Réseaux TD n 6 Rappels : Théorème de Nyquist (ligne non bruitée) : Dmax = 2H log 2 V Théorème de Shannon (ligne bruitée) : C = H log 2 (1+ S/B) Relation entre débit binaire et rapidité de modulation :

Plus en détail

UltraBackup NetStation 4. Guide de démarrage rapide

UltraBackup NetStation 4. Guide de démarrage rapide UltraBackup NetStation 4 Guide de démarrage rapide Table des matières 1 Fonctionnalités... 3 1.1 Ce qu UltraBackup NetStation permet de faire... 3 1.2 Ce qu UltraBackup NetStation ne permet pas de faire...

Plus en détail

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique Architecture d ordinateur : introduction Dimitri Galayko Introduction à l informatique, cours 1 partie 2 Septembre 2014 Association d interrupteurs: fonctions arithmétiques élémentaires Elément «NON» Elément

Plus en détail

1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique...

1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique... 1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique... 4 2 Quelques actions... 5 2.1 Ouvrir un document existant...5 2.2

Plus en détail

Architecture : Circuits numériques et éléments d architecture

Architecture : Circuits numériques et éléments d architecture Ecole Nationale Supérieure d Informatique et de Mathématiques Appliquées Architecture : Circuits numériques et éléments d architecture 1 ère année Année scolaire 2014 2015 Consignes Les exercices de ce

Plus en détail

Préparer un état de l art

Préparer un état de l art Préparer un état de l art Khalil DRIRA LAAS-CNRS, Toulouse Unité de recherche ReDCAD École Nationale d ingénieurs de Sfax Étude de l état de l art? Une étude ciblée, approfondie et critique des travaux

Plus en détail

IUT BREST UN LOGICIEL SCADA : PC VUE 2010 DEP.GMP

IUT BREST UN LOGICIEL SCADA : PC VUE 2010 DEP.GMP IUT BREST DEP.GMP UN LOGICIEL SCADA : PC VUE 2010 Table des matières 1. Introduction à la supervision- logiciel SCADA... 4 1.A. Définition d un logiciel SCADA /Supervision... 4 1.B. Ou trouve-t-on des

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot et Sébastien Viardot Année universitaire 2011-2012 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 C12 Codage des

Plus en détail

WIFI-DMX INTERFACE AUTONOME V 1.5.0

WIFI-DMX INTERFACE AUTONOME V 1.5.0 WIFI-DMX INTERFACE AUTONOME V 1.5.0 SOMMAIRE Specifications techniques de l interface... 3 Brochage de l interface... 4 Câblage et connexion des 15 contacts externes... 5 Face avant de l interface... 6

Plus en détail

Transmissions série et parallèle

Transmissions série et parallèle 1. Introduction : Un signal numérique transmet généralement plusieurs digits binaires. Exemple : 01000001 ( huit bits). Dans une transmission numérique on peut envisager deux modes : les envoyer tous en

Plus en détail

Acquisition et conditionnement de l information Les capteurs

Acquisition et conditionnement de l information Les capteurs Acquisition et conditionnement de l information Les capteurs COURS 1. Exemple d une chaîne d acquisition d une information L'acquisition de la grandeur physique est réalisée par un capteur qui traduit

Plus en détail

domovea Portier tebis

domovea Portier tebis domovea Portier tebis SOMMAIRE SOMMAIRE Page 1. INTRODUCTION... 2 1.1 OBJET DU DOCUMENT... 2 2. VIDEO UNIQUEMENT (SANS SON), BASE SUR CAMERA IP... 3 2.1 ARCHITECTURE... 3 2.2 CONFIGURATION... 4 2.2.1 Creation

Plus en détail

MEGA ITSM Accelerator. Guide de Démarrage

MEGA ITSM Accelerator. Guide de Démarrage MEGA ITSM Accelerator Guide de Démarrage MEGA 2009 SP4 1ère édition (juin 2010) Les informations contenues dans ce document pourront faire l objet de modifications sans préavis et ne sauraient en aucune

Plus en détail

BIRT (Business Intelligence and Reporting Tools)

BIRT (Business Intelligence and Reporting Tools) BIRT (Business Intelligence and Reporting Tools) Introduction Cette publication a pour objectif de présenter l outil de reporting BIRT, dans le cadre de l unité de valeur «Data Warehouse et Outils Décisionnels»

Plus en détail

Série D65/D75/D72 Afficheurs digitaux modulaires

Série D65/D75/D72 Afficheurs digitaux modulaires Série D65/D75/D72 Afficheurs digitaux modulaires Afficheurs digitaux modulaires Afficheurs digitaux individuels La série D65/D75/D72 représente une vaste gamme de modules d affichage numériques, hexadécimaux

Plus en détail

crm+ capteurs à ultrasons Extrait de notre catalogue en ligne : Mise à jour : 2015-06-29

crm+ capteurs à ultrasons Extrait de notre catalogue en ligne : Mise à jour : 2015-06-29 Extrait de notre catalogue en ligne : crm+ capteurs à ultrasons Mise à jour : 2015-06-29 microsonic gmbh, phoenixseestraße 7, d-44263 dortmund, allemagne, tél : +49 231 9751510, fax : +49 231 97515151,

Plus en détail

WinTask x64 Le Planificateur de tâches sous Windows 7 64 bits, Windows 8/8.1 64 bits, Windows 2008 R2 et Windows 2012 64 bits

WinTask x64 Le Planificateur de tâches sous Windows 7 64 bits, Windows 8/8.1 64 bits, Windows 2008 R2 et Windows 2012 64 bits WinTask x64 Le Planificateur de tâches sous Windows 7 64 bits, Windows 8/8.1 64 bits, Windows 2008 R2 et Windows 2012 64 bits Manuel d initiation du Planificateur 2 INTRODUCTION 5 CHAPITRE I : INSTALLATION

Plus en détail

GPA770 Microélectronique appliquée Exercices série A

GPA770 Microélectronique appliquée Exercices série A GPA770 Microélectronique appliquée Exercices série A 1. Effectuez les calculs suivants sur des nombres binaires en complément à avec une représentation de 8 bits. Est-ce qu il y a débordement en complément

Plus en détail

Modules d automatismes simples

Modules d automatismes simples Modules d automatismes simples Solutions pour automatiser Modules d'automatismes Enfin, vraiment simple! Un concentré de solution Pour vos petites applications d'automatismes millenium gère : Temporisations

Plus en détail

COMMANDER A DISTANCE LE ROBOT-PONG ETUDE DE LA TELECOMMANDE (2 nde PARTIE)

COMMANDER A DISTANCE LE ROBOT-PONG ETUDE DE LA TELECOMMANDE (2 nde PARTIE) SIN STI2D - Système d'information et Numérique TD TP Cours Synthèse Devoir Evaluation Projet Document ressource COMMANDER A DISTANCE LE ROBOT-PONG ETUDE DE LA TELECOMMANDE (2 nde PARTIE) 1 GESTION DES

Plus en détail

Projet d informatique M1BI : Compression et décompression de texte. 1 Généralités sur la compression/décompression de texte

Projet d informatique M1BI : Compression et décompression de texte. 1 Généralités sur la compression/décompression de texte Projet d informatique M1BI : Compression et décompression de texte Le but de ce projet est de coder un programme réalisant de la compression et décompression de texte. On se proposera de coder deux algorithmes

Plus en détail

Les portes logiques. Voici les symboles des trois fonctions de base. Portes AND. Portes OR. Porte NOT

Les portes logiques. Voici les symboles des trois fonctions de base. Portes AND. Portes OR. Porte NOT Les portes logiques Nous avons jusqu ici utilisé des boutons poussoirs et une lampe pour illustrer le fonctionnement des opérateurs logiques. En électronique digitale, les opérations logiques sont effectuées

Plus en détail

Projet M1 Année scolaire 2013/2014

Projet M1 Année scolaire 2013/2014 Institut Supérieur de l Électronique et du Numérique Tél. : +33 (0)2.98.03.84.00 Fax : +33 (0)2.98.03.84.10 20, rue Cuirassé Bretagne CS 42807-29228 BREST Cedex 2 - FRANCE Projet M1 Année scolaire 2013/2014

Plus en détail

Info0101 Intro. à l'algorithmique et à la programmation. Cours 3. Le langage Java

Info0101 Intro. à l'algorithmique et à la programmation. Cours 3. Le langage Java Info0101 Intro. à l'algorithmique et à la programmation Cours 3 Le langage Java Pierre Delisle, Cyril Rabat et Christophe Jaillet Université de Reims Champagne-Ardenne Département de Mathématiques et Informatique

Plus en détail

Arithmétique binaire. Chapitre. 5.1 Notions. 5.1.1 Bit. 5.1.2 Mot

Arithmétique binaire. Chapitre. 5.1 Notions. 5.1.1 Bit. 5.1.2 Mot Chapitre 5 Arithmétique binaire L es codes sont manipulés au quotidien sans qu on s en rende compte, et leur compréhension est quasi instinctive. Le seul fait de lire fait appel au codage alphabétique,

Plus en détail

Notice d'utilisation Afficheur multifonctions et système d'évaluation FX 360. Mode/Enter

Notice d'utilisation Afficheur multifonctions et système d'évaluation FX 360. Mode/Enter Notice d'utilisation Afficheur multifonctions et système d'évaluation FR FX 360 7390275 / 08 07 / 2009 Mode/Enter Set Consignes de sécurité Cette notice fait partie de l'appareil. Elle fournit des textes

Plus en détail

Partie 1. Professeur : Haouati Abdelali. CPGE Lycée Omar Ibn Lkhattab - Meknès www.haouati.com haouaticpge@gmail.com

Partie 1. Professeur : Haouati Abdelali. CPGE Lycée Omar Ibn Lkhattab - Meknès www.haouati.com haouaticpge@gmail.com Partie 1 Professeur : Haouati Abdelali CPGE Lycée Omar Ibn Lkhattab - Meknès www.haouati.com haouaticpge@gmail.com Partie I : Généralités et algorithmique de base 1. Environnement matériel et logiciel

Plus en détail

Représentation d un entier en base b

Représentation d un entier en base b Représentation d un entier en base b 13 octobre 2012 1 Prérequis Les bases de la programmation en langage sont supposées avoir été travaillées L écriture en base b d un entier est ainsi défini à partir

Plus en détail

Débuter avec PsoC ou PsoC niveau 0

Débuter avec PsoC ou PsoC niveau 0 Débuter avec PsoC ou PsoC niveau 0 Objectifs : se familiariser avec les circuits PsoC et leur environnement, prendre en main le logiciel et le kit d'évaluation, développer une application simple avec le

Plus en détail

Notice d Utilisation du logiciel Finite Element Method Magnetics version 3.4 auteur: David Meeker

Notice d Utilisation du logiciel Finite Element Method Magnetics version 3.4 auteur: David Meeker Notice d Utilisation du logiciel Finite Element Method Magnetics version 3.4 auteur: David Meeker DeCarvalho Adelino adelino.decarvalho@iutc.u-cergy.fr septembre 2005 Table des matières 1 Introduction

Plus en détail

Système binaire. Algèbre booléenne

Système binaire. Algèbre booléenne Algèbre booléenne Système binaire Système digital qui emploie des signaux à deux valeurs uniques En général, les digits employés sont 0 et 1, qu'on appelle bits (binary digits) Avantages: on peut utiliser

Plus en détail

constitue le point d'entrée pour accéder au paramétrage de votre poste.

constitue le point d'entrée pour accéder au paramétrage de votre poste. Alcatel Advanced Réflexes (4035) Poste spécifique à notre installation (PABX Alcatel 4400) Conçu pour un travail d'équipe intense (multi-lignes) Besoins téléphoniques évolués : accès à la gamme complète

Plus en détail

IFT2880 Organisation des ordinateurs et systèmes

IFT2880 Organisation des ordinateurs et systèmes Représentation des nombres flottants Notation exponentielle Représentations équivalentes dans la base 10 de 1,234 1 2 3, 4 0 0. 0 x 1 0-2 1 2, 3 4 0. 0 x 1 0-1 1, 2 3 4. 0 x 1 0 1 2 3. 4 x 1 0 1 2. 3 4

Plus en détail

Chapitre 4 : Les mémoires

Chapitre 4 : Les mémoires 1. Introduction: Chapitre 4 : Les mémoires Nous savons que dans un ordinateur toutes les informations : valeur numérique, instruction, adresse, symbole (chiffre, lettre,... etc.) sont manipulées sous une

Plus en détail

Contrôleur de communications réseau. Guide de configuration rapide DN1657-0606

Contrôleur de communications réseau. Guide de configuration rapide DN1657-0606 K T - N C C Contrôleur de communications réseau Guide de configuration rapide DN1657-0606 Objectif de ce document Ce Guide de configuration rapide s adresse aux installateurs qui sont déjà familiers avec

Plus en détail

KAJOUT WASSIM INTERNET INFORMATION SERVICES (IIS) 01/03/2013. Compte-rendu sur ISS KAJOUT Wassim

KAJOUT WASSIM INTERNET INFORMATION SERVICES (IIS) 01/03/2013. Compte-rendu sur ISS KAJOUT Wassim 01/03/2013 Le rôle de Serveur Web (IIS) dans Windows Server 2008 R2 vous permet de partager des informations avec des utilisateurs sur Internet, sur un intranet ou un extranet. Windows Server 2008 R2 met

Plus en détail

DATALOGGERS SEFRAM LOG1601 et LOG1620

DATALOGGERS SEFRAM LOG1601 et LOG1620 DATALOGGERS SEFRAM LOG1601 et LOG1620 Présentation des minis enregistreurs : Les minis enregistreurs SEFRAM LOG1601 et LOG1620 permettent d enregistrer des températures et un taux d humidité relative (LOG1620

Plus en détail

SUR MODULE CAMÉRA C38A (OV7620)

SUR MODULE CAMÉRA C38A (OV7620) Applications maquette d'étude EP10K20 DÉMULTIPLEXEUR BT.656 SUR MODULE CAMÉRA C38A OV7620 SCHÉMAS ET DESCRIPTIONS AHDL 1. Schéma principal Le démultiplexeur proprement dit est la fonction "Decod_BT656_1".

Plus en détail