QUESTION 1 {3 points}

Dimension: px
Commencer à balayer dès la page:

Download "QUESTION 1 {3 points}"

Transcription

1 QUESTION 1 {3 points} La figure ci-dessous montre le diagramme d'états d'une machine séquentielle algorithmique (MSA). On vous demande de réviser le design afin d améliorer ses performances. Page 1 sur 17

2 QUESTION 1 {suite} a) Indiquez les états qui doivent avoir une assignation adjacente selon les principes d optimisation de MSA. {0,6 point} Principes d optimisation de MSA États adjacents Principe 1 Principe 2 Principe 3 b) Indiquez la (les) partie(s) d une MSA sur laquelle (lesquelles) les principes d optimisation ont un impact {0,4 point} Principe 1 Principe 2 Principe 3 Élimination des états redondants IFL OFL Mémoire Aucune c) Donnez deux (2) avantages et deux (2) inconvénients relatifs à l utilisation de registres pour les sorties d une MSA. {0,8 point} Page 2 sur 17

3 QUESTION 1 {suite} d) Expliquez pourquoi il est fortement recommandé de ne jamais impliquer l horloge dans la génération des sorties. {0,2 point} e) En supposant l assignation suivante et l entrée asynchrone Y, existerait-il des états erronés? Si oui, lesquels? {0,4 point} État Q 3 Q 2 Q 1 Q A E D L 01 I J G K 11 H - C M 10 B O F N f) Identifiez, s il y a lieu, le ou les états redondants. Simplifiez et redessinez le diagramme d'états réduit de cette MSA. {0,6 point} Page 3 sur 17

4 QUESTION 2 {1,25 point} À l aide du tableau suivant et en sachant que le niveau de tension de V ref est de 4 V, veuillez fournir quelques caractéristiques du CNA : Entrée Sortie (V) 000 0, , , , , , , ,25 a) Quelle est la précision du CNA {0,25 point} b) Quelle est la résolution du CNA {0,25 point} c) Quelle est l erreur de décalage (en LSB) du CNA {0,25 point} d) Quelle est l erreur de gain (en LSB) du CNA {0,25 point} e) Est-ce que le CNA est monotone? Justifiez votre réponse {0,25 point} Page 4 sur 17

5 QUESTION 3 {1,5 points} Les systèmes logiques peuvent avoir des problèmes reliés à la métastabilité, aux états erronés et aux transitoires des sorties (SB-SE). Décrivez deux (2) précautions qu il est fortement recommandé d appliquer lors de la conception d un système logique afin de minimiser ces types de problèmes. Problème(s) visé(s) Précaution 1. Métastabilité États erronés Transitoires en sorties 2. Page 5 sur 17

6 QUESTION 4 {3,5 points} Les questions suivantes portent sur le langage de description VHDL. a) Décrivez en VHDL les process d un testbench qui permettent de générer les signaux d excitation (RST, CLK et DONE). {0,5 point} 100 ns RST CLK 400 ns DONE 400 ns 800 ns 3200 ns 1600 ns Page 6 sur 17

7 QUESTION 4 {suite} b) Complétez la description structurelle (en VHDL) du module top_level. {0,5 point} Architecture struct of top_level is -- (Considérez que les signaux et les components identifiés sur le schéma bloc sont déclarés!!) Begin End struct; Page 7 sur 17

8 QUESTION 4 {suite} c) Pourquoi est-il important de porter attention au rapport de synthèse (.syr) avant de faire une simulation? {0,2 point} d) À quoi sert le fichier.ucf? {0,2 point} e) À quoi sert la liste de sensibilité d un process {0,2 point} f) Sachant que seul un sous-ensemble du langage VHDL est synthétisable, expliquez en quoi l ensemble du langage VHDL est pertinent en prenant soin de spécifier son principal avantage? {0,5 point} Page 8 sur 17

9 QUESTION 4 {suite} g) Expliquez clairement pourquoi il n est pas recommandé d utiliser la description VHDL suivante : {0,2 point} case etat_p is when a => if x= 1 then etat_f <= b ; --état futur z_f <= 1 ;--sortie future else etat_f <= b ;--état futur z_f <= 0 ;-- sortie future end if ; when b => etat_f <= c ; --état futur z_f <= 0 ; -- sortie future h) Écrivez la ligne de code VHDL qui permet d assigner à «data_s» l élément à la position correspondant à la valeur du signal «adresse_r» du «tableau_lut». Spécifiez la valeur de X et Y : {0,4 point} Type tableau_t is array (0 to X) of std_logic_vector(19 downto 0); Signal tableau_lut : tableau_t; Signal adresse_r : std_logic_vector(9 downto 0); Signal data_s : std_logic_vector(y downto 0); data_s <= X= Y= Page 9 sur 17

10 QUESTION 4 {suite} i) Écrivez les lignes de code VHDL qui complète l assignation de mult_s et add_s. Indiquez la valeur de X et Y: {0,6 point} use ieee.numeric_std.all; Signal mult_s : std_logic_vector (X downto 0); -- Signal add_s : std_logic_vector (Y downto 0); Signal a_s : std_logic_vector (7 downto 0); --signe 2 C Signal b_s : std_logic_vector (5 downto 0); --non signé. -- produit (multiplication) de a_s avec b_s mult_s <= -- somme (addition) signé avec retenue de a_s avec b_s add_s <= X= Y= j) Quelles sont les étapes à suivre pour réussir un projet en VHDL à partir des spécifications du système à concevoir. {0,2 point} Page 10 sur 17

11 QUESTION 5 {3,5 points} On vous demande de calculer la fréquence maximale d'opération, en vous servant des paramètres donnés au tableau suivant, du circuit de la MSA ci-dessous : Paramètres électriques de composants Composant tp HL tp LH t SU t H ET 9 ns 6 ns OU 7 ns 8 ns XOR 10 ns 11 ns Inverseur 3 ns 2 ns Bascule D 0 et D 1 14 ns 13 ns 1 ns 5 ns Bascule D 2 et D 3 15 ns 12 ns 2 ns 4 ns Identifiez clairement le(s) chemin(s) critique(s). Identifiez clairement toutes les séquences susceptibles de limiter la fréquence maximale d opération. Propagez la ou les transitions. Trouvez la fréquence maximale d opération à l aide des paramètres électriques. Page 11 sur 17

12 QUESTION 5 {suite} Détaillez votre raisonnement et vos calculs sur cette page. Page 12 sur 17

13 QUESTION 6 {2 points} Les questions suivantes portent sur les mémoires. a) Qu est-ce qu une mémoire volatile? {0,2 point} b) Pourquoi faut-il pré charger les lignes de données des mémoires de type DRAM? {0,2 point} c) Pourquoi faut-il rafraichir les données des mémoires de type DRAM? {0,2 point} d) Donnez un avantage et un inconvénient de la mémoire FRAM par rapport à la mémoire FLASH (ou EEPROM 2 e gen)? {0,2 point} e) Dessinez une ROM de taille minimale (technologie diode) qui permet de réaliser la fonction logique Z A B. {0,3 point} Page 13 sur 17

14 QUESTION 6 {suite} f) Donnez un avantage et un inconvénient de la mémoire SRAM par rapport à la mémoire DRAM? {0,2 point} g) Expliquez le rôle des «gros» transistors utilisés dans l architecture de la mémoire SRAM. {0,2 point} h) Sachant que vous disposez de mémoire de 4M x 8 bits et que vous désirez une mémoire ROM de 11M x 17 bits, dessinez le schéma du module mémoire. (note : 1M = 2 20 ) {0,5 point} Page 14 sur 17

15 QUESTION 7 {3,25 points} Vous désirez concevoir un oscilloscope portatif bon marché. Le dispositif affiche sur un écran VGA en temps réel la tension à l entrée de ses quatre (4) canaux (V1, V2, V3 et V4). Vous prévoyez utiliser quatre (4) CAN distincts pour échantillonner à 20 MHz individuellement chacun des signaux. a) Vous désirez utiliser une tension de référence de 5 V et vous désirez une résolution d au moins 2 mv. Construisez l architecture avancée de CAN d un canal en sachant que vous disposez de plusieurs CAN à approximations successives de 4 bits qui complètent leur conversion de 4 bits en 100 ns. Dessinez le schéma bloc complet de l architecture avancée du CAN que vous allez réaliser à partir d un nombre minimal de CAN à approximations successives (vous pouvez utiliser tous les autres composants de votre choix à l exception des CAN; ces derniers doivent obligatoirement être des CAN à approximations successives de 4 bits). De plus, spécifiez la fréquence de l horloge que vous prévoyez utiliser. {2 points} Page 15 sur 17

16 QUESTION 7 {suite} b) Sachant que vous désirez utiliser une mémoire pour enregistrer les échantillons des quatre (4) canaux durant 0,5 s et que les échantillons sont représentés sur 16 bits, spécifiez la capacité minimale requise. {0,25 point} c) Vous désirez ajouter un générateur d ondes à votre oscilloscope. Vous désirez utiliser une ROM et un CNA R-2R pour générer l un des huit signaux périodiques (f max = 10 MHz). L usager aura donc accès au signal SEL (3 bits) pour choisir le signal désiré. Sachant que la tension de référence est de 5 V, que la représentation des échantillons est sur 8 bits et que vous prévoyez utiliser un méga (2 20 ) échantillons par signal, dessinez le schéma bloc de ce générateur d ondes. {1 point} Page 16 sur 17

17 QUESTION 8 {2 points} On vous demande de concevoir un système, à l aide d une MSA, qui permet de connaitre en temps réel le nombre de voitures dans un stationnement. Il y a un maximum de 200 places disponibles et le stationnement possède deux capteurs disposés par terre pour détecter le passage de voitures : un pour l entrée (C1) et un pour la sortie (C2). Chacun des capteurs demeure actif tant qu une auto est au-dessus (peut donc demeurer actif plus ou moins longtemps) et devient inactif lorsque celle-ci le quitte. Vous devez considérer que chaque voiture est comptabilisée par les capteurs et que les signaux sont synchrones avec l horloge du système. Finalement, considérez que le stationnement est vide au démarrage du système. Dessinez le diagramme d état de la MSA en utilisant les signaux suivants : RST, CLK, C1, C2 et CMPT. Indice : considérer C1 et C2 en même temps dans votre MSA; Bon examen! Philippe Levesque Mohamad Sawan Page 17 sur 17

QUESTION 1 {2 points}

QUESTION 1 {2 points} ELE4301 Systèmes logiques II Page 1 de 8 QUESTION 1 {2 points} En se servant de paramètres électriques donnés dans le Tableau 1 ci-dessous, on désire déterminer la fréquence d opération du compteur présenté

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot et Sébastien Viardot Année universitaire 2011-2012 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 C12 Codage des

Plus en détail

Manipulations du laboratoire

Manipulations du laboratoire Manipulations du laboratoire 1 Matériel Les manipulations de ce laboratoire sont réalisées sur une carte électronique comprenant un compteur 4-bit asynchrone (74LS93) avec possibilité de déclenchement

Plus en détail

ELP 304 : Électronique Numérique. Cours 1 Introduction

ELP 304 : Électronique Numérique. Cours 1 Introduction ELP 304 : Électronique Numérique Cours 1 Introduction Catherine Douillard Dépt Électronique Les systèmes numériques : généralités (I) En électronique numérique, le codage des informations utilise deux

Plus en détail

On distingue deux grandes catégories de mémoires : mémoire centrale (appelée également mémoire interne)

On distingue deux grandes catégories de mémoires : mémoire centrale (appelée également mémoire interne) Mémoire - espace destiné a recevoir, conserver et restituer des informations à traiter - tout composant électronique capable de stocker temporairement des données On distingue deux grandes catégories de

Plus en détail

Le langage VHDL. Eduardo Sanchez EPFL

Le langage VHDL. Eduardo Sanchez EPFL Le langage VHDL Eduardo Sanchez EPFL Livres conseillés: John F. Wakerly Digital design (4th edition) Prentice Hall, 2005 Peter J. Ashenden The designer's guide to VHDL (3rd edition) Morgan Kaufmann, 2008

Plus en détail

Chapitre 4 : Les mémoires

Chapitre 4 : Les mémoires 1. Introduction: Chapitre 4 : Les mémoires Nous savons que dans un ordinateur toutes les informations : valeur numérique, instruction, adresse, symbole (chiffre, lettre,... etc.) sont manipulées sous une

Plus en détail

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS SOMMAIRE I. Le VHDL pour qui, pourquoi, quand, comment? A. Le VHDL!...... 1 B. Pourquoi un langage de description?...... 1 C. Les limites actuelles...... 2

Plus en détail

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE QCM Remarque : - A une question correspond au moins 1 réponse juste - Cocher la ou les bonnes réponses Barème : - Une bonne réponse = +1 - Pas de réponse = 0

Plus en détail

SIN-FPGA DESCRIPTION PAR SCHEMA

SIN-FPGA DESCRIPTION PAR SCHEMA SIN-FPGA DESCRIPTION PAR SCHEMA Documents ressources: http://www.altera.com/literature/lit-index.html Introduction to Quartus II : intro_to_quartus2.pdf Documentation QUARTUS II : quartusii_handbook.pdf

Plus en détail

Université de La Rochelle. Réseaux TD n 6

Université de La Rochelle. Réseaux TD n 6 Réseaux TD n 6 Rappels : Théorème de Nyquist (ligne non bruitée) : Dmax = 2H log 2 V Théorème de Shannon (ligne bruitée) : C = H log 2 (1+ S/B) Relation entre débit binaire et rapidité de modulation :

Plus en détail

IFT1215 Introduction aux systèmes informatiques

IFT1215 Introduction aux systèmes informatiques Introduction aux circuits logiques de base IFT25 Architecture en couches Niveau 5 Niveau 4 Niveau 3 Niveau 2 Niveau Niveau Couche des langages d application Traduction (compilateur) Couche du langage d

Plus en détail

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique Architecture d ordinateur : introduction Dimitri Galayko Introduction à l informatique, cours 1 partie 2 Septembre 2014 Association d interrupteurs: fonctions arithmétiques élémentaires Elément «NON» Elément

Plus en détail

Equipement. électronique

Equipement. électronique MASTER ISIC Les générateurs de fonctions 1 1. Avant-propos C est avec l oscilloscope, le multimètre et l alimentation stabilisée, l appareil le plus répandu en laboratoire. BUT: Fournir des signau électriques

Plus en détail

3. SPÉCIFICATIONS DU LOGICIEL. de l'expression des besoins à la conception. Spécifications fonctionnelles Analyse fonctionnelle et méthodes

3. SPÉCIFICATIONS DU LOGICIEL. de l'expression des besoins à la conception. Spécifications fonctionnelles Analyse fonctionnelle et méthodes PLAN CYCLE DE VIE D'UN LOGICIEL EXPRESSION DES BESOINS SPÉCIFICATIONS DU LOGICIEL CONCEPTION DU LOGICIEL LA PROGRAMMATION TESTS ET MISE AU POINT DOCUMENTATION CONCLUSION C.Crochepeyre Génie Logiciel Diapason

Plus en détail

! analyse du fonctionnement

! analyse du fonctionnement Coloreau chaude MT V P1 V MT! Le composant repéré TH1 sur le schéma structurel et une thermistance. Son rôle est de détecter une grandeur physique la température, et de la convertir en une grandeur électrique

Plus en détail

1. Structure d un programme C. 2. Commentaire: /*..texte */ On utilise aussi le commentaire du C++ qui est valable pour C: 3.

1. Structure d un programme C. 2. Commentaire: /*..texte */ On utilise aussi le commentaire du C++ qui est valable pour C: 3. 1. Structure d un programme C Un programme est un ensemble de fonctions. La fonction "main" constitue le point d entrée pour l exécution. Un exemple simple : #include int main() { printf ( this

Plus en détail

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits Architecture des ordinateurs TD1 - Portes logiques et premiers circuits 1 Rappel : un peu de logique Exercice 1.1 Remplir la table de vérité suivante : a b a + b ab a + b ab a b 0 0 0 1 1 0 1 1 Exercice

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot Année universitaire 2014-2015 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 Codage des nombres en base 2, logique

Plus en détail

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007 Introduction à l architecture des ordinateurs Adrien Lebre Décembre 2007 Plan - partie 1 Vue d ensemble La carte mère Le processeur La mémoire principal Notion de bus Introduction à l architecture des

Plus en détail

GPA770 Microélectronique appliquée Exercices série A

GPA770 Microélectronique appliquée Exercices série A GPA770 Microélectronique appliquée Exercices série A 1. Effectuez les calculs suivants sur des nombres binaires en complément à avec une représentation de 8 bits. Est-ce qu il y a débordement en complément

Plus en détail

Lecteur de carte à puce LCPM1 SOMMAIRE

Lecteur de carte à puce LCPM1 SOMMAIRE SOMMAIRE I Différents types de cartes p2 1.1- Carte magnétique 1.2- Carte II Les cartes s. p3 2.1- Introduction 2.2- Constitution III Les familles de cartes s. p6 3.1- Les cartes à mémoire simple 3.2-

Plus en détail

Éléments d informatique Cours 3 La programmation structurée en langage C L instruction de contrôle if

Éléments d informatique Cours 3 La programmation structurée en langage C L instruction de contrôle if Éléments d informatique Cours 3 La programmation structurée en langage C L instruction de contrôle if Pierre Boudes 28 septembre 2011 This work is licensed under the Creative Commons Attribution-NonCommercial-ShareAlike

Plus en détail

DETECTOR BICANAL FG2 1. DIMENSIONS ET CONNEXIONS ELECTRIQUES 2. GENERALITES. 24 VDC Alimentat. 24 Vcc. Contact Boucle 2 4 5. Contact Boucle 1 6 7

DETECTOR BICANAL FG2 1. DIMENSIONS ET CONNEXIONS ELECTRIQUES 2. GENERALITES. 24 VDC Alimentat. 24 Vcc. Contact Boucle 2 4 5. Contact Boucle 1 6 7 DETECTOR BICANAL FG. DIMENSIS ET CNEXIS ELECTRIQUES FRANÇAIS 4 VDC Alimentat. 4 Vcc 3 Contact Boucle 4 5 Contact Boucle 6 7 Boucle 8 9 0 Boucle Dimensions en mm. GENERALITES Applications: contrôle de barrières,

Plus en détail

Modules d automatismes simples

Modules d automatismes simples Modules d automatismes simples Solutions pour automatiser Modules d'automatismes Enfin, vraiment simple! Un concentré de solution Pour vos petites applications d'automatismes millenium gère : Temporisations

Plus en détail

Métriques de performance pour les algorithmes et programmes parallèles

Métriques de performance pour les algorithmes et programmes parallèles Métriques de performance pour les algorithmes et programmes parallèles 11 18 nov. 2002 Cette section est basée tout d abord sur la référence suivante (manuel suggéré mais non obligatoire) : R. Miller and

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2011-2012 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques WIDEMACV1 LAAS-CNRS 2011 Présentation du simulateur VHDL sous environnement Cadence Présentation

Plus en détail

Présentation et installation PCE-LOG V4 1-5

Présentation et installation PCE-LOG V4 1-5 PCE-LOG V4 version borne externe type PC50 mesures U, I + 3 TS version coffret mural mesures U, U, I + 3TS PRESENTATION 1-5 1 Presentation PCE-LOG V4 est un datalogger pour la télésurveillance de la protection

Plus en détail

Sélection du contrôleur

Sélection du contrôleur Démo CoDeSys - 1 - 1. Configuration de l environnement de travail : Lancer le logiciel CoDeSys Fichier Nouveau Lors de la première utilisation, une boîte de dialogue apparaît permettant la sélection du

Plus en détail

Conception des systèmes répartis

Conception des systèmes répartis Conception des systèmes répartis Principes et concepts Gérard Padiou Département Informatique et Mathématiques appliquées ENSEEIHT Octobre 2012 Gérard Padiou Conception des systèmes répartis 1 / 37 plan

Plus en détail

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE I/ GÉNÉRALITÉS I.1/ Fonction Un compteur binaire est utilisé : -pour compter un certain nombre d'évènements binaires -pour diviser la fréquence d'un signal logique par 2 m Page 1 FONCTION COMPTAGE BINAIRE

Plus en détail

Manipulation N 6 : La Transposition de fréquence : Mélangeur micro-ondes

Manipulation N 6 : La Transposition de fréquence : Mélangeur micro-ondes Manipulation N 6 : La Transposition de fréquence : Mélangeur micro-ondes Avant Propos : Le sujet comporte deux parties : une partie théorique, jalonnée de questions (dans les cadres), qui doit être préparée

Plus en détail

THEME 1 : L ORDINATEUR ET SON ENVIRONNEMENT. Objectifs

THEME 1 : L ORDINATEUR ET SON ENVIRONNEMENT. Objectifs Architecture Matérielle des Systèmes Informatiques. S1 BTS Informatique de Gestion 1 ère année THEME 1 : L ORDINATEUR ET SON ENVIRONNEMENT Dossier 1 L environnement informatique. Objectifs Enumérer et

Plus en détail

SUR MODULE CAMÉRA C38A (OV7620)

SUR MODULE CAMÉRA C38A (OV7620) Applications maquette d'étude EP10K20 DÉMULTIPLEXEUR BT.656 SUR MODULE CAMÉRA C38A OV7620 SCHÉMAS ET DESCRIPTIONS AHDL 1. Schéma principal Le démultiplexeur proprement dit est la fonction "Decod_BT656_1".

Plus en détail

Comme chaque ligne de cache a 1024 bits. Le nombre de lignes de cache contenu dans chaque ensemble est:

Comme chaque ligne de cache a 1024 bits. Le nombre de lignes de cache contenu dans chaque ensemble est: Travaux Pratiques 3. IFT 1002/IFT 1005. Structure Interne des Ordinateurs. Département d'informatique et de génie logiciel. Université Laval. Hiver 2012. Prof : Bui Minh Duc. Tous les exercices sont indépendants.

Plus en détail

SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION. Contenu du dossier :

SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION. Contenu du dossier : SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION Contenu du dossier : 1. PRESENTATION DU SYSTEME DE PALPAGE A TRANSMISSION RADIO....1 1.1. DESCRIPTION DU FABRICANT....1

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2008-2009 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques Pentium4 Présentation du simulateur VHDL sous environnement Cadence Présentation de l outil Synopsys

Plus en détail

TP Modulation Démodulation BPSK

TP Modulation Démodulation BPSK I- INTRODUCTION : TP Modulation Démodulation BPSK La modulation BPSK est une modulation de phase (Phase Shift Keying = saut discret de phase) par signal numérique binaire (Binary). La phase d une porteuse

Plus en détail

Logique séquentielle

Logique séquentielle Bascules et logique séquentielle aniel Etiemble de@lri.fr Logique séquentielle Logique séquentielle Le système a des «états» ans un système séquentiel Éléments de mémorisation Les sorties dépendent des

Plus en détail

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd UE 503 L3 MIAGE Initiation Réseau et Programmation Web La couche physique A. Belaïd abelaid@loria.fr http://www.loria.fr/~abelaid/ Année Universitaire 2011/2012 2 Le Modèle OSI La couche physique ou le

Plus en détail

Étude des Corrélations entre Paramètres Statiques et Dynamiques des Convertisseurs Analogique-Numérique en vue d optimiser leur Flot de Test

Étude des Corrélations entre Paramètres Statiques et Dynamiques des Convertisseurs Analogique-Numérique en vue d optimiser leur Flot de Test 11 juillet 2003 Étude des Corrélations entre Paramètres Statiques et Dynamiques des Convertisseurs Analogique-Numérique en vue d optimiser leur Flot de Test Mariane Comte Plan 2 Introduction et objectif

Plus en détail

Enseignement secondaire technique

Enseignement secondaire technique Enseignement secondaire technique Régime technique Division technique générale Cycle moyen Informatique 11TG Nombre de leçons: 2.0 Nombre minimal de devoirs: - Langue véhiculaire: / Remarque générale:

Plus en détail

T500 DUAlTACH. JAQUET T500 DualTach Instrument de mesure et de surveillance équipé de 2 entrées fréquence TACHYMETRE 2 CANAUX

T500 DUAlTACH. JAQUET T500 DualTach Instrument de mesure et de surveillance équipé de 2 entrées fréquence TACHYMETRE 2 CANAUX 02-09 T500 DUAlTACH JAQUET T500 DualTach Instrument de mesure et de surveillance équipé de 2 entrées fréquence JAQUET T500 DualTach Instrument multi canal de mesure et de surveillance pour applications

Plus en détail

IFIPS 5 / Nouvelles Architectures Logicielles Projet : Bus de web services avec «moteur» BPEL

IFIPS 5 / Nouvelles Architectures Logicielles Projet : Bus de web services avec «moteur» BPEL IFIPS 5 / Nouvelles Architectures Logicielles Projet : Bus de web services avec «moteur» BPEL Un bus de services Un bus de services (ESB) permet d assembler des web services existants, le résultat de cet

Plus en détail

Structure fonctionnelle d un SGBD

Structure fonctionnelle d un SGBD Fichiers et Disques Structure fonctionnelle d un SGBD Requetes Optimiseur de requetes Operateurs relationnels Methodes d acces Gestion de tampon Gestion de disque BD 1 Fichiers et Disques Lecture : Transfert

Plus en détail

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE ARDUINO DOSSIER RESSOURCE POUR LA CLASSE Sommaire 1. Présentation 2. Exemple d apprentissage 3. Lexique de termes anglais 4. Reconnaître les composants 5. Rendre Arduino autonome 6. Les signaux d entrée

Plus en détail

VIII- Circuits séquentiels. Mémoires

VIII- Circuits séquentiels. Mémoires 1 VIII- Circuits séquentiels. Mémoires Maintenant le temps va intervenir. Nous avions déjà indiqué que la traversée d une porte ne se faisait pas instantanément et qu il fallait en tenir compte, notamment

Plus en détail

I- Définitions des signaux.

I- Définitions des signaux. 101011011100 010110101010 101110101101 100101010101 Du compact-disc, au DVD, en passant par l appareil photo numérique, le scanner, et télévision numérique, le numérique a fait une entrée progressive mais

Plus en détail

Alarme domestique- Présentation

Alarme domestique- Présentation STI2D PROJET SIN Alarme domestique- Présentation Document réponses Séquence découverte Le fonctionnement du système d alarme domestique: (Démarche d investigation) Après avoir fait une présentation de

Plus en détail

Les puissances 4. 4.1. La notion de puissance. 4.1.1. La puissance c est l énergie pendant une seconde CHAPITRE

Les puissances 4. 4.1. La notion de puissance. 4.1.1. La puissance c est l énergie pendant une seconde CHAPITRE 4. LES PUISSANCES LA NOTION DE PUISSANCE 88 CHAPITRE 4 Rien ne se perd, rien ne se crée. Mais alors que consomme un appareil électrique si ce n est les électrons? La puissance pardi. Objectifs de ce chapitre

Plus en détail

Les Réseaux sans fils : IEEE 802.11. F. Nolot

Les Réseaux sans fils : IEEE 802.11. F. Nolot Les Réseaux sans fils : IEEE 802.11 F. Nolot 1 Les Réseaux sans fils : IEEE 802.11 Historique F. Nolot 2 Historique 1er norme publiée en 1997 Débit jusque 2 Mb/s En 1998, norme 802.11b, commercialement

Plus en détail

La carte à puce. Jean-Philippe Babau

La carte à puce. Jean-Philippe Babau La carte à puce Jean-Philippe Babau Département Informatique INSA Lyon Certains éléments de cette présentation sont issus de documents Gemplus Research Group 1 Introduction Carte à puce de plus en plus

Plus en détail

MACHINE A SOUDER MANUEL D UTILISATION

MACHINE A SOUDER MANUEL D UTILISATION MACHINE A SOUDER (Réf ME056) MANUEL D UTILISATION France DETECTION SERVICES ZA LA CIGALIERE 2 84250 LE THOR Tél. 04.90.33.75.14 Fax : 04.90.33.75.17 Contact: contact@fdspro.com Web site: fdspro.com 1 Affichage

Plus en détail

Contenu de l'emballage. Fonctions et spécifications

Contenu de l'emballage. Fonctions et spécifications Contenu de l'emballage (1) Unité d'enregistrement A AVerDigi EB1304NET SATA B AVerDigi EB1304NET SATA (2) Télécommande avec batteries (3) Guide d'installation rapide (4) Cordon d'alimentation (5) Adaptateur

Plus en détail

Galerie de photos échantillons SB-910

Galerie de photos échantillons SB-910 Galerie de photos échantillons SB-910 Ce livret présente différentes techniques du flash SB-910 et des exemples de photographies. 1 Fr Franchissez un cap dans l univers de l éclairage créatif Révélez les

Plus en détail

ASR1 TD7 : Un microprocesseur RISC 16 bits

ASR1 TD7 : Un microprocesseur RISC 16 bits {Â Ö Ñ º ØÖ Ý,È ØÖ ºÄÓ Ù,Æ ÓÐ ºÎ ÝÖ Ø¹ ÖÚ ÐÐÓÒ} Ò ¹ÐÝÓÒº Ö ØØÔ»»Ô Ö Óº Ò ¹ÐÝÓÒº Ö» Ö Ñ º ØÖ Ý»¼ Ö½» ASR1 TD7 : Un microprocesseur RISC 16 bits 13, 20 et 27 novembre 2006 Présentation générale On choisit

Plus en détail

Projet Active Object

Projet Active Object Projet Active Object TAO Livrable de conception et validation Romain GAIDIER Enseignant : M. Noël PLOUZEAU, ISTIC / IRISA Pierre-François LEFRANC Master 2 Informatique parcours MIAGE Méthodes Informatiques

Plus en détail

Informatique Industrielle Année 2004-2005. Architecture des ordinateurs Note de cours T.Dumartin

Informatique Industrielle Année 2004-2005. Architecture des ordinateurs Note de cours T.Dumartin Informatique Industrielle Année 2004-2005 Architecture des ordinateurs Note de cours T.Dumartin 1 GENERALITES 5 1.1 INTRODUCTION 5 1.2 QU ENTEND-T-ON PAR ARCHITECTURE? 5 1.3 QU EST CE QU UN MICROPROCESSEUR?

Plus en détail

RELAIS STATIQUE. Tension commutée

RELAIS STATIQUE. Tension commutée RELAIS STATIQUE Nouveau Relais Statique Monophasé de forme compacte et économique Coût réduit pour une construction modulaire Modèles disponibles de 15 à 45 A Modèles de faible encombrement, avec une épaisseur

Plus en détail

Algorithmique des Systèmes Répartis Protocoles de Communications

Algorithmique des Systèmes Répartis Protocoles de Communications Algorithmique des Systèmes Répartis Protocoles de Communications Master Informatique Dominique Méry Université de Lorraine 1 er avril 2014 1 / 70 Plan Communications entre processus Observation et modélisation

Plus en détail

Leçon 1 : Les principaux composants d un ordinateur

Leçon 1 : Les principaux composants d un ordinateur Chapitre 2 Architecture d un ordinateur Leçon 1 : Les principaux composants d un ordinateur Les objectifs : o Identifier les principaux composants d un micro-ordinateur. o Connaître les caractéristiques

Plus en détail

Sur un ordinateur portable ou un All-in-One tactile, la plupart des éléments mentionnés précédemment sont regroupés. 10) 11)

Sur un ordinateur portable ou un All-in-One tactile, la plupart des éléments mentionnés précédemment sont regroupés. 10) 11) 1/ Généralités : Un ordinateur est un ensemble non exhaustif d éléments qui sert à traiter des informations (documents de bureautique, méls, sons, vidéos, programmes ) sous forme numérique. Il est en général

Plus en détail

LES TYPES DE DONNÉES DU LANGAGE PASCAL

LES TYPES DE DONNÉES DU LANGAGE PASCAL LES TYPES DE DONNÉES DU LANGAGE PASCAL 75 LES TYPES DE DONNÉES DU LANGAGE PASCAL CHAPITRE 4 OBJECTIFS PRÉSENTER LES NOTIONS D ÉTIQUETTE, DE CONS- TANTE ET DE IABLE DANS LE CONTEXTE DU LAN- GAGE PASCAL.

Plus en détail

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview.

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. Sciences et Technologies de l Industrie et du Développement Durable Formation des enseignants parcours : ET24 Modèle de

Plus en détail

1. PRESENTATION DU PROJET

1. PRESENTATION DU PROJET Bac STI2D Formation des enseignants Jean-François LIEBAUT Denis PENARD SIN 63 : Prototypage d un traitement de l information analogique et numérique (PSoC) 1. PRESENTATION DU PROJET Les systèmes d éclairage

Plus en détail

Fonctions de la couche physique

Fonctions de la couche physique La Couche physique 01010110 01010110 Couche physique Signal Médium Alain AUBERT alain.aubert@telecom-st-etienne.r 0 Fonctions de la couche physique 1 1 Services assurés par la couche physique Transmettre

Plus en détail

Architecture des ordinateurs Introduction à l informatique

Architecture des ordinateurs Introduction à l informatique Architecture des ordinateurs Introduction à l informatique 17 septembre 2004 1 2 3 4 5 6 Les interrupteurs... 0V 5V Ce sont des composants électroniques qui laissent pser un courant principal lorsque la

Plus en détail

Figure 3.1- Lancement du Gambit

Figure 3.1- Lancement du Gambit 3.1. Introduction Le logiciel Gambit est un mailleur 2D/3D; pré-processeur qui permet de mailler des domaines de géométrie d un problème de CFD (Computational Fluid Dynamics).Il génère des fichiers*.msh

Plus en détail

LA MESURE INDUSTRIELLE

LA MESURE INDUSTRIELLE E02 LA MESURE INDUSTRIELLE 20 Heures Technicien responsable de la maintenance Approfondir les techniques de mesure; Prendre en compte l aspect métrologie. Connaître les limites et les facteurs d influences

Plus en détail

COMMANDER la puissance par MODULATION COMMUNIQUER

COMMANDER la puissance par MODULATION COMMUNIQUER SERIE 4 MODULER - COMMUNIQUER Fonctions du programme abordées : COMMANDER la puissance par MODULATION COMMUNIQUER Objectifs : Réaliser le câblage d un modulateur d après le schéma de puissance et de commande,

Plus en détail

Cours 1 : Introduction Ordinateurs - Langages de haut niveau - Application

Cours 1 : Introduction Ordinateurs - Langages de haut niveau - Application Université de Provence Licence Math-Info Première Année V. Phan Luong Algorithmique et Programmation en Python Cours 1 : Introduction Ordinateurs - Langages de haut niveau - Application 1 Ordinateur Un

Plus en détail

Programmation C. Apprendre à développer des programmes simples dans le langage C

Programmation C. Apprendre à développer des programmes simples dans le langage C Programmation C Apprendre à développer des programmes simples dans le langage C Notes de cours sont disponibles sur http://astro.u-strasbg.fr/scyon/stusm (attention les majuscules sont importantes) Modalités

Plus en détail

Les nouveautés de Femap 11.1

Les nouveautés de Femap 11.1 Siemens PLM Software Les nouveautés de Femap 11.1 Amélioration de la productivité des Ingénieurs calcul Avantages Manipulation plus rapide des modèles grâce à des performances graphiques améliorées Flexibilité

Plus en détail

Conférence sur les microcontroleurs.

Conférence sur les microcontroleurs. Conférence sur les microcontroleurs. Le microcontrôleur Les besoins et le développement. Vers 1970, pour des calculs (calculatrice). Le premier est le 4004 de Intel, 90K. La technologie. Les 2 principales

Plus en détail

Les BRMS Business Rules Management System. Groupe GENITECH

Les BRMS Business Rules Management System. Groupe GENITECH Les BRMS Business Rules Management System 1 Présentations Emmanuel Bonnet ebonnet (at) genigraph.fr Responsable Dpt Conseil Consultant, Expert BRMS Formateur IBM/Ilog JRules / JBoss Rules Génigraph SSII

Plus en détail

Moteur DC: Comment faire varier sa vitesse?

Moteur DC: Comment faire varier sa vitesse? Moteur DC: Comment faire varier sa vitesse? Zone d'utilisation Moteur à excitation shunt Influence I e Petite perturbation : e.g. augmentation vitesse À partir de P : couple moteur P'' < couple résistant

Plus en détail

CONVERTISSEURS NA ET AN

CONVERTISSEURS NA ET AN Convertisseurs numériques analogiques (xo Convertisseurs.doc) 1 CONVTIU NA T AN NOT PLIMINAI: Tous les résultats seront exprimés sous formes littérales et encadrées avant les applications numériques. Les

Plus en détail

Exemples de problèmes et d applications. INF6953 Exemples de problèmes 1

Exemples de problèmes et d applications. INF6953 Exemples de problèmes 1 Exemples de problèmes et d applications INF6953 Exemples de problèmes Sommaire Quelques domaines d application Quelques problèmes réels Allocation de fréquences dans les réseaux radio-mobiles Affectation

Plus en détail

Guide de programmation FLEXIVOZ PABX OD308

Guide de programmation FLEXIVOZ PABX OD308 Guide de FLEXIVOZ PABX OD308 1 SOMMAIRE Introduction 3 Installation 4 Programmation du système 5 IMPORTANT Lignes externes 6 Réglage date et heure par l horloge interne 6 Appels entrants : Affectation

Plus en détail

PIC EVAL Dev Board PIC18F97J60

PIC EVAL Dev Board PIC18F97J60 PIC EVAL Dev Board PIC18F97J60 2 TP1 : Prise en main de l environnement de programmation pour la carte PIC EVAL-ANFA Pour répondre aux questions et justifier vos réponses, vous pouvez faire des copies

Plus en détail

RÉALISATION ET MISE AU POINT D UN SYSTÈME DE TÉLÉSURVEILLANCE VIDÉO

RÉALISATION ET MISE AU POINT D UN SYSTÈME DE TÉLÉSURVEILLANCE VIDÉO E.N.S.E.R.B. Romain COMBELAS Frédéric BONNIN 3ème année. Option TIC MÉMOIRE DE FIN D ÉTUDES RÉALISATION ET MISE AU POINT D UN SYSTÈME DE TÉLÉSURVEILLANCE VIDÉO Encadré par : M. Patrice KADIONIK M. Yannick

Plus en détail

Organigramme / Algorigramme Dossier élève 1 SI

Organigramme / Algorigramme Dossier élève 1 SI Organigramme / Algorigramme Dossier élève 1 SI CI 10, I11 ; CI 11, I10 C24 Algorithmique 8 février 2009 (13:47) 1. Introduction Un organigramme (ou algorigramme, lorsqu il est plus particulièrement appliqué

Plus en détail

Carte Relais GSM (Manuel Utilisateur)

Carte Relais GSM (Manuel Utilisateur) Carte Relais GSM (Manuel Utilisateur) Carte Relais GSM Introduction Cette carte est une véritable centrale de télécommande et d alarme par GSM. Elle se connecte par un port série à un modem GSM compatible

Plus en détail

IV- Comment fonctionne un ordinateur?

IV- Comment fonctionne un ordinateur? 1 IV- Comment fonctionne un ordinateur? L ordinateur est une alliance du hardware (le matériel) et du software (les logiciels). Jusqu à présent, nous avons surtout vu l aspect «matériel», avec les interactions

Plus en détail

J AUVRAY Systèmes Electroniques TRANSMISSION DES SIGNAUX NUMERIQUES : SIGNAUX EN BANDE DE BASE

J AUVRAY Systèmes Electroniques TRANSMISSION DES SIGNAUX NUMERIQUES : SIGNAUX EN BANDE DE BASE RANSMISSION DES SIGNAUX NUMERIQUES : SIGNAUX EN BANDE DE BASE Un message numérique est une suite de nombres que l on considérera dans un premier temps comme indépendants.ils sont codés le plus souvent

Plus en détail

Algorithme des fourmis appliqué à la détection et au suivi de contours dans une image

Algorithme des fourmis appliqué à la détection et au suivi de contours dans une image IN52-IN54 A2008 Algorithme des fourmis appliqué à la détection et au suivi de contours dans une image Etudiants : Nicolas MONNERET Alexandre HAFFNER Sébastien DE MELO Responsable : Franck GECHTER Sommaire

Plus en détail

Transmissions série et parallèle

Transmissions série et parallèle 1. Introduction : Un signal numérique transmet généralement plusieurs digits binaires. Exemple : 01000001 ( huit bits). Dans une transmission numérique on peut envisager deux modes : les envoyer tous en

Plus en détail

Conception et Intégration de Systèmes Critiques

Conception et Intégration de Systèmes Critiques Conception et Intégration de Systèmes Critiques 15 12 18 Non 50 et S initier aux méthodes le développement de projet (plan de développement, intégration, gestion de configuration, agilité) Criticité temporelle

Plus en détail

TD Architecture des ordinateurs. Jean-Luc Dekeyser

TD Architecture des ordinateurs. Jean-Luc Dekeyser TD Architecture des ordinateurs Jean-Luc Dekeyser Fiche 1 Nombres de l informatique Exercice 1 Une entreprise désire réaliser la sauvegarde de ses données sur un site distant. Le volume de données à sauvegarder

Plus en détail

Prototypage électronique

Prototypage électronique Prototypage électronique C'est quoi Arduino? Enseignant d'électronique en BTS des Systèmes Électroniques au lycée Cabanis de Brive-la-Gaillarde, j'ai commencé en 2010 à entendre parler d'arduino à gauche

Plus en détail

Le Programme SYGADE SYGADE 5.2. Besoins en équipement, logiciels et formation. UNCTAD/GID/DMFAS/Misc.6/Rev.7

Le Programme SYGADE SYGADE 5.2. Besoins en équipement, logiciels et formation. UNCTAD/GID/DMFAS/Misc.6/Rev.7 CONFÉRENCE DES NATIONS UNIES SUR LE COMMERCE ET LE DÉVELOPPEMENT UNITED NATIONS CONFERENCE ON TRADE AND DEVELOPMENT Le Programme SYGADE SYGADE 5.2 Besoins en équipement, logiciels et formation UNCTAD/GID/DMFAS/Misc.6/Rev.7

Plus en détail

Janvier 2013 AUDIO/VIDEO PLAYER AUDIO VIDEO OEM DIVERS

Janvier 2013 AUDIO/VIDEO PLAYER AUDIO VIDEO OEM DIVERS Janvier 2013 AUDIO/VIDEO PLAYER AUDIO VIDEO OEM DIVERS Flash mpx HD DIFFUSION DE VIDEO Le Flash mpx HD diffuse des fichiers audio et video stockés sur une clé USB ou un disque dur interne. Ce lecteur lit

Plus en détail

REALISATION d'un. ORDONNANCEUR à ECHEANCES

REALISATION d'un. ORDONNANCEUR à ECHEANCES REALISATION d'un ORDONNANCEUR à ECHEANCES I- PRÉSENTATION... 3 II. DESCRIPTION DU NOYAU ORIGINEL... 4 II.1- ARCHITECTURE... 4 II.2 - SERVICES... 4 III. IMPLÉMENTATION DE L'ORDONNANCEUR À ÉCHÉANCES... 6

Plus en détail

EMETTEUR ULB. Architectures & circuits. Ecole ULB GDRO ESISAR - Valence 23-27/10/2006. David MARCHALAND STMicroelectronics 26/10/2006

EMETTEUR ULB. Architectures & circuits. Ecole ULB GDRO ESISAR - Valence 23-27/10/2006. David MARCHALAND STMicroelectronics 26/10/2006 EMETTEUR ULB Architectures & circuits David MARCHALAND STMicroelectronics 26/10/2006 Ecole ULB GDRO ESISAR - Valence 23-27/10/2006 Introduction Emergence des applications de type LR-WPAN : Dispositif communicant

Plus en détail

Vous êtes bien à la bonne présentation, c est juste que je trouvais que le titre de cette présentation étais un peu long,

Vous êtes bien à la bonne présentation, c est juste que je trouvais que le titre de cette présentation étais un peu long, Vous êtes bien à la bonne présentation, c est juste que je trouvais que le titre de cette présentation étais un peu long, en fait ça me faisait penser au nom d un certain projet gouvernemental je me suis

Plus en détail

systèmes étendus Guide des Version 6.7 pour systèmes HD sous Macintosh ou Windows Digidesign

systèmes étendus Guide des Version 6.7 pour systèmes HD sous Macintosh ou Windows Digidesign Guide des systèmes étendus Version 6.7 pour systèmes HD sous Macintosh ou Windows Digidesign 2001 Junipero Serra Boulevard Daly City, CA 94014-3886 États-Unis Tél. : 650 731 6300 Fax : 650 731 6399 Assistance

Plus en détail

Architecture des ordinateurs

Architecture des ordinateurs Architecture des ordinateurs Cours 4 5 novembre 2012 Archi 1/22 Micro-architecture Archi 2/22 Intro Comment assembler les différents circuits vus dans les cours précédents pour fabriquer un processeur?

Plus en détail

Manuel d utilisation. Notes. Page 9-10

Manuel d utilisation. Notes. Page 9-10 Manuel d utilisation Notes Page 9-10 L enregistrement de futures émissions Astuces 9 ASTUCES Il est très important de laisser le récepteur activé pour qu il reçoive les mises à jour. De cette façon, le

Plus en détail

Gestion de base de données

Gestion de base de données Université Libre de Bruxelles Faculté de Philosophie et Lettres Département des Sciences de l Information et de la Communication Gestion de base de données Projet : Take Off ASBL Cours de M. A. Clève STIC-B-505

Plus en détail

Trixbox: Asterisk packagé. hassan.hassan@urec.cnrs.fr Unité Réseaux du CNRS

Trixbox: Asterisk packagé. hassan.hassan@urec.cnrs.fr Unité Réseaux du CNRS Trixbox: Asterisk packagé hassan.hassan@urec.cnrs.fr Unité Réseaux du CNRS 2 Présentation de la trixbox L idée Fournir une distribution complète OS + IPBX Centos + noyau asterisk + paquets standards GUI:

Plus en détail