ELECINF 102 : Processeurs et Architectures Numériques

Dimension: px
Commencer à balayer dès la page:

Download "ELECINF 102 : Processeurs et Architectures Numériques"

Transcription

1 ELECINF 102 : Processeurs et Architectures Numériques Logique séquentielle Tarik Graba tarik.graba@telecom-paristech.fr

2 Plan Introduction La bascule D Logique séquentielle synchrone Applications En SystemVerilog 2/39 ELECINF102 Tarik Graba

3 La logique combinatoire Rappel Rappel La sortie d une fonction ne dépend que de ses entrées Pour les mêmes entrées on obtient toujours les mêmes sorties. Permet de construire des opérateurs : Logiques Arithmétiques 3/39 ELECINF102 Tarik Graba

4 La logique séquentielle Le temps de propagation t p est non nul. Durant ce temps : La sortie n est pas valide! On ne peut pas modifier les entrées! Comment enchaîner plusieurs calculs? t p E 2 E 1 F S E 0 4/39 ELECINF102 Tarik Graba

5 La logique séquentielle On maintient les entrées stables au moins pendant t p t p E 2 E 1 F S E 0 4/39 ELECINF102 Tarik Graba

6 La logique séquentielle On maintient les entrées stables au moins pendant t p En échantillonnant les entrées. t p E i2 E 2 E i1 E 1 F S E i0 E 0 4/39 ELECINF102 Tarik Graba

7 La logique séquentielle Dès que le résultat est prêt : La sortie est échantillonnée On peut au même instant modifier les entrées t p E i2 E 2 E i1 E 1 F S S o E i0 E 0 4/39 ELECINF102 Tarik Graba

8 La logique séquentielle On utilise donc le même signal de synchronisation. La même horloge t p E i2 E 2 E i1 E 1 F S S o E i0 E 0 clk 4/39 ELECINF102 Tarik Graba

9 La logique séquentielle t 0 t 1 t 2 clk E i0 E 0(0) E 0(1) E i1 E 1(0) E 1(1) E i1 E 2(0) E 2(1) E 0 E 0(0) E 0(1) E 1 E 1(0) E 1(1) E 2 E 2(0) E 2(1) S S(0) S(1) t p S o S(0) S(1) t p 5/39 ELECINF102 Tarik Graba

10 La logique séquentielle Il manque quelque chose! Nous avons besoin d un composant mémorisant sur le front d un signal 6/39 ELECINF102 Tarik Graba

11 La logique séquentielle Il manque quelque chose! Nous avons besoin d un composant mémorisant sur le front d un signal Ce composant s appelle une bascule D (D flip-flop) C est ce que nous verrons dans ce qui suit 6/39 ELECINF102 Tarik Graba

12 Plan Introduction La bascule D Logique séquentielle synchrone Applications En SystemVerilog 7/39 ELECINF102 Tarik Graba

13 La bascule D Élément de base de la logique séquentielle D Q clk 8/39 ELECINF102 Tarik Graba

14 La bascule D Élément de base de la logique séquentielle bascule D, flipflop, dff, registre Une entrée particulière! l horloge clk L horloge est symbolisée par un triangle D clk Q Fonctionnement : A chaque front montant de l horloge clk (passage de 0 1) l entrée D est copiée (échantillonnée, mémorisée) sur la sortie Q. Entre deux fronts d horloge, la sortie Q ne change pas. 9/39 ELECINF102 Tarik Graba

15 La bascule D Table de vérité de la bascule D D clk Q 0 0 copie de D sur Q 1 1 copie de D sur Q 0 Q Q conserve sa valeur 1 Q Q conserve sa valeur Q Q conserve sa valeur 10/39 ELECINF102 Tarik Graba

16 La bascule D Le registre Un registre est un ensemble de bascules fonctionnant en parallèle. Exemple un registre 4bits D 4 Q 4 D 3 Q 3 D 4 4 Q D 2 Q 2 H D 1 Q 1 H 11/39 ELECINF102 Tarik Graba

17 La bascule D Exemple clk D 1 Q 1 12/39 ELECINF102 Tarik Graba

18 La bascule D Exemple clk D 1 Q 1 12/39 ELECINF102 Tarik Graba

19 La bascule D Exemple clk D 1 Q 1 12/39 ELECINF102 Tarik Graba

20 La bascule D Exemple clk D 1 Q 1 12/39 ELECINF102 Tarik Graba

21 La bascule D Exemple clk D 1 Q 1 12/39 ELECINF102 Tarik Graba

22 La bascule D Exemple clk D 1 Q 1 12/39 ELECINF102 Tarik Graba

23 La bascule D Exemple clk D 1 Q 1 12/39 ELECINF102 Tarik Graba

24 La bascule D Exemple clk D 1 Q 1 12/39 ELECINF102 Tarik Graba

25 La bascule D Exemple clk D 1 Q 1 D 2 Q 2 12/39 ELECINF102 Tarik Graba

26 La bascule D Exemple clk D 1 Q 1 D 2 Q 2 12/39 ELECINF102 Tarik Graba

27 La bascule D Exemple clk D 1 Q 1 D 2 Q 2 12/39 ELECINF102 Tarik Graba

28 La bascule D Exemple clk D 1 Q 1 D 2 Q 2 12/39 ELECINF102 Tarik Graba

29 La bascule D Exemple clk D 1 Q 1 D 2 Q 2 12/39 ELECINF102 Tarik Graba

30 La bascule D Exemple clk D 1 Q 1 D 2 Q 2 12/39 ELECINF102 Tarik Graba

31 La bascule D Exemple clk D 1 Q 1 D 2 Q 2 12/39 ELECINF102 Tarik Graba

32 La bascule D Exemple clk D 1 Q 1 D 2 Q 2 12/39 ELECINF102 Tarik Graba

33 La bascule D Exemple clk D 1 Q 1 D 2 Q 2 Q 1 recopie D 1 avec un cycle de retard. Q 2 recopie D 2 en filtrant les impulsions de durée inférieure à la période de l horloge. 12/39 ELECINF102 Tarik Graba

34 La bascule D Contraintes temporelles clk D Q t su t h t co La donnée doit être stable au front d horloge : avoir atteint sa valeur t su avant le front d horloge (setup). cette valeur doit être maintenue t h après le front d horloge (hold). La copie de l entrée sur la sortie se fait avec un retard de t co (clock to output). 13/39 ELECINF102 Tarik Graba

35 La bascule D Contraintes temporelles clk D t su Q t h t co t su : temps de pré positionnement t h : temps de maintien t co : temps de propagation 13/39 ELECINF102 Tarik Graba

36 D 3 S Exemples d applications Registre à décalage E D 1 D 2 D 3 S H H E D 1 D 2 14/39 ELECINF102 Tarik Graba

37 Plan Introduction La bascule D Logique séquentielle synchrone Applications En SystemVerilog 15/39 ELECINF102 Tarik Graba

38 La logique séquentielle Règles La logique séquentielle synchrone Tout bloc combinatoire est entouré par des registres (bascules D). Les registres sont synchrones Ils utilisent le même signal d horloge L horloge doit arriver en même temps pas de combinatoire sur l horloge La période de l horloge dont être compatible avec le temps de propagation de la logique combinatoire 16/39 ELECINF102 Tarik Graba

39 La logique séquentielle Fréquence de fonctionnement t co t crit t su entrées combinatoire sorties horloge registre T clk > t co + t crit + t su t crit est le temps du chemin critique càd le temps de propagation du chemin combinatoire le plus long 17/39 ELECINF102 Tarik Graba

40 La logique séquentielle Fréquence de fonctionnement t co t crit t su entrées combinatoire sorties horloge registre F max = 1 t co + t crit + t su t crit est le temps du chemin critique càd le temps de propagation du chemin combinatoire le plus long 17/39 ELECINF102 Tarik Graba

41 Séquencer les traitements Répéter plusieurs fois le même calcul F F F Comment effectuer plusieurs fois le même calcul sans dupliquer les opérateurs? 18/39 ELECINF102 Tarik Graba

42 Séquencer les traitements Mémoriser et réutiliser le même bloc combinatoire F Mémoriser les résultats intermédiaires. Reboucler sur la partie combinatoire. 19/39 ELECINF102 Tarik Graba

43 Séquencer les traitements La valeur initiale? Un signal extérieur est utilisé pour forcer l état des bascules. On parle de reset (remise à zéro). Il peut s agir d une mise à 1, on parle alors de preset. 20/39 ELECINF102 Tarik Graba

44 Séquencer les traitements Le reset asynchrone reset n_reset Un signal connecté directement aux bascules. Son action est indépendante de l horloge. En général global pour tout le circuit. Peut être positif ou négatif. clk n_reset D Q D Q clk D clk Q 21/39 ELECINF102 Tarik Graba

45 Séquencer les traitements Le reset synchrone clk n_reset D Q Vient de la logique qui précède les bascules. Son action n est effective qu au front de l horloge. C est une remise à zéro fonctionnelle. 22/39 ELECINF102 Tarik Graba

46 Séquencer les traitements Le reset synchrone Comment construire un reset synchrone en utilisant les portes logiques de base? 23/39 ELECINF102 Tarik Graba

47 Séquencer les traitements Le reset synchrone Comment construire un reset synchrone en utilisant les portes logiques de base? n_reset D D clk Q 23/39 ELECINF102 Tarik Graba

48 Logique séquentielle synchrone Résumons entrées combinatoire sorties horloge Utilisation de bascules D synchrones pour mémoriser les variables internes et les sorties La sortie d une fonction séquentielle dépend de ses entrées et de son état précédent. L état initial doit être forcé par un signal extérieur. 24/39 ELECINF102 Tarik Graba

49 Plan Introduction La bascule D Logique séquentielle synchrone Applications En SystemVerilog 25/39 ELECINF102 Tarik Graba

50 Exemples d applications L enable Construire une bascule D avec une entrée d activation (enable). enable vaut 1 la bascule fonctionne normalement. enable vaut 0 la bascule ne change pas d état. 26/39 ELECINF102 Tarik Graba

51 Exemples d applications L enable Construire une bascule D avec une entrée d activation (enable). enable vaut 1 la bascule fonctionne normalement. enable vaut 0 la bascule ne change pas d état. D 0 1 enable D clk Q 26/39 ELECINF102 Tarik Graba

52 Exemples d applications Un compteur Construisez un compteur (+1 à chaque coup d horloge) de 0 à 255. Ajoutez la possibilité de le remettre à zéro de façon asynchrone de façon synchrone Ajoutez la possibilité d interrompre/reprendre le comptage. Ajoutez la possibilité qu il compte ou décompte. 27/39 ELECINF102 Tarik Graba

53 Exemples d applications Le Pipeline t p Une fonction combinatoire F de temps de propagation t p Les entrées sorties peuvent être synchrones tant que t p < T CLK Où T CLK est la période d horloge B A CLK F A A0 A1 A2 B B0 B1 B2 C OUT C C0 C1 C2 OUT C0 C1 t p 28/39 ELECINF102 Tarik Graba

54 Exemples d applications Le Pipeline On décompose F en deux fonctions combinatoires F 1 et F 2 de temps de propagation respectifs t p1 et t p2 On s arrange pour avoir t p1 < t p et t p2 < t p Dans cet exemple t p1 + t p2 = t p Les entrées sorties peuvent être synchrones tant que t p1 + t p2 < T CLK 29/39 ELECINF102 Tarik Graba B A CLK t p1 t p2 F 1 C i F 2 A A0 A1 A2 B B0 B1 B2 C i Ci0 Ci1 Ci2 C C0 C1 C2 OUT C0 C1 t p1 t p2 t p C OUT

55 Exemples d applications Le Pipeline t p1 t p2 On peut échantillonner la sortie de la fonction F 1 Les entrées sorties peuvent être synchrones tant que t p1 < T CLK et t p2 < T CLK B A F 1 C i C r F 2 CLK C OUT A A0 A1 A2 On peut donc réduire la période de l horloge Ou augmenter la fréquence B B0 B1 B2 C i Ci0 Ci1 Ci2 C reg Ci0 Ci1 Ci2 C C0 C1 C2 OUT C0 C1 C2 t p1 t p2 30/39 ELECINF102 Tarik Graba

56 Exemples d applications Le Pipeline Le pipeline permet d augmenter la fréquence de fonctionnement. On a augmenté la taille du circuit (Ajout des bascules, modification de la partie combinatoire). On a augmenté la latence initiale. 31/39 ELECINF102 Tarik Graba

57 Plan Introduction La bascule D Logique séquentielle synchrone Applications En SystemVerilog 32/39 ELECINF102 Tarik Graba

58 La bascule D Toujours, à chaque front d horloge, copier l entrée sur la sortie. Sinon, la sortie ne change pas. 33/39 ELECINF102 Tarik Graba

59 La bascule D Toujours, à chaque front d horloge, copier l entrée sur la sortie. Sinon, la sortie ne change pas.(implicite) En SystemVerilog clk) q <= d; 33/39 ELECINF102 Tarik Graba

60 La bascule D La remise à zéro asynchrone sur niveau haut clk or posedge reset) if(reset) q <= 1'b0; else q <= d; 34/39 ELECINF102 Tarik Graba

61 La bascule D La remise à zéro asynchrone sur niveau bas clk or negedge nreset) if(!nreset) q <= 1'b0; else q <= d; 34/39 ELECINF102 Tarik Graba

62 La bascule D La remise à zéro synchrone sur niveau haut clk) if(reset) q <= 1'b0; else q <= d; 35/39 ELECINF102 Tarik Graba

63 La bascule D La remise à zéro synchrone sur niveau bas clk) if(!nreset) q <= 1'b0; else q <= d; 35/39 ELECINF102 Tarik Graba

64 La bascule D l enable sans reset clk) if (en) q <= d; 36/39 ELECINF102 Tarik Graba

65 La bascule D l enable avec reset asynchrone clk or negedge nreset) if(!nreset) q <= 1'b0; else if (en) q <= d; 36/39 ELECINF102 Tarik Graba

66 La bascule D l enable avec reset synchrone clk) if(!nreset) q <= 1'b0; else if (en) q <= d; 36/39 ELECINF102 Tarik Graba

67 Un registre Un registre de 4 bits logic[3:0] d;... logic[3:0] q; clk) q <= d; 37/39 ELECINF102 Tarik Graba

68 Un compteur Un compteur modulo 16 logic[3:0] q; clk) q <= q + 1; Dont on ne connait pas l état initial! 38/39 ELECINF102 Tarik Graba

69 Un registre à décalage Affectations simultanées logic a, b, c, d; clk) begin b <= a; c <= b; d <= c; end logic a, b, c, d; clk) begin d <= c; c <= b; b <= a; end Dans un bloc, entre begin et end, les affectations sont faites simultanément. L ordre n a donc pas d importance et les deux codes sont équivalents. À droite d une affectation <=, l état avant le front. À gauche d une affectation <=, l état après le front. 39/39 ELECINF102 Tarik Graba

VIII- Circuits séquentiels. Mémoires

VIII- Circuits séquentiels. Mémoires 1 VIII- Circuits séquentiels. Mémoires Maintenant le temps va intervenir. Nous avions déjà indiqué que la traversée d une porte ne se faisait pas instantanément et qu il fallait en tenir compte, notamment

Plus en détail

IFT1215 Introduction aux systèmes informatiques

IFT1215 Introduction aux systèmes informatiques Introduction aux circuits logiques de base IFT25 Architecture en couches Niveau 5 Niveau 4 Niveau 3 Niveau 2 Niveau Niveau Couche des langages d application Traduction (compilateur) Couche du langage d

Plus en détail

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE I/ GÉNÉRALITÉS I.1/ Fonction Un compteur binaire est utilisé : -pour compter un certain nombre d'évènements binaires -pour diviser la fréquence d'un signal logique par 2 m Page 1 FONCTION COMPTAGE BINAIRE

Plus en détail

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits Architecture des ordinateurs TD1 - Portes logiques et premiers circuits 1 Rappel : un peu de logique Exercice 1.1 Remplir la table de vérité suivante : a b a + b ab a + b ab a b 0 0 0 1 1 0 1 1 Exercice

Plus en détail

Manipulations du laboratoire

Manipulations du laboratoire Manipulations du laboratoire 1 Matériel Les manipulations de ce laboratoire sont réalisées sur une carte électronique comprenant un compteur 4-bit asynchrone (74LS93) avec possibilité de déclenchement

Plus en détail

Logique séquentielle

Logique séquentielle Bascules et logique séquentielle aniel Etiemble de@lri.fr Logique séquentielle Logique séquentielle Le système a des «états» ans un système séquentiel Éléments de mémorisation Les sorties dépendent des

Plus en détail

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview.

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. Sciences et Technologies de l Industrie et du Développement Durable Formation des enseignants parcours : ET24 Modèle de

Plus en détail

SUR MODULE CAMÉRA C38A (OV7620)

SUR MODULE CAMÉRA C38A (OV7620) Applications maquette d'étude EP10K20 DÉMULTIPLEXEUR BT.656 SUR MODULE CAMÉRA C38A OV7620 SCHÉMAS ET DESCRIPTIONS AHDL 1. Schéma principal Le démultiplexeur proprement dit est la fonction "Decod_BT656_1".

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot et Sébastien Viardot Année universitaire 2011-2012 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 C12 Codage des

Plus en détail

J AUVRAY Systèmes Electroniques TRANSMISSION DES SIGNAUX NUMERIQUES : SIGNAUX EN BANDE DE BASE

J AUVRAY Systèmes Electroniques TRANSMISSION DES SIGNAUX NUMERIQUES : SIGNAUX EN BANDE DE BASE RANSMISSION DES SIGNAUX NUMERIQUES : SIGNAUX EN BANDE DE BASE Un message numérique est une suite de nombres que l on considérera dans un premier temps comme indépendants.ils sont codés le plus souvent

Plus en détail

Licence Sciences et Technologies Examen janvier 2010

Licence Sciences et Technologies Examen janvier 2010 Université de Provence Introduction à l Informatique Licence Sciences et Technologies Examen janvier 2010 Année 2009-10 Aucun document n est autorisé Les exercices peuvent être traités dans le désordre.

Plus en détail

GPA770 Microélectronique appliquée Exercices série A

GPA770 Microélectronique appliquée Exercices série A GPA770 Microélectronique appliquée Exercices série A 1. Effectuez les calculs suivants sur des nombres binaires en complément à avec une représentation de 8 bits. Est-ce qu il y a débordement en complément

Plus en détail

ASR1 TD7 : Un microprocesseur RISC 16 bits

ASR1 TD7 : Un microprocesseur RISC 16 bits {Â Ö Ñ º ØÖ Ý,È ØÖ ºÄÓ Ù,Æ ÓÐ ºÎ ÝÖ Ø¹ ÖÚ ÐÐÓÒ} Ò ¹ÐÝÓÒº Ö ØØÔ»»Ô Ö Óº Ò ¹ÐÝÓÒº Ö» Ö Ñ º ØÖ Ý»¼ Ö½» ASR1 TD7 : Un microprocesseur RISC 16 bits 13, 20 et 27 novembre 2006 Présentation générale On choisit

Plus en détail

Architecture des ordinateurs

Architecture des ordinateurs Architecture des ordinateurs Cours 4 5 novembre 2012 Archi 1/22 Micro-architecture Archi 2/22 Intro Comment assembler les différents circuits vus dans les cours précédents pour fabriquer un processeur?

Plus en détail

ELP 304 : Électronique Numérique. Cours 1 Introduction

ELP 304 : Électronique Numérique. Cours 1 Introduction ELP 304 : Électronique Numérique Cours 1 Introduction Catherine Douillard Dépt Électronique Les systèmes numériques : généralités (I) En électronique numérique, le codage des informations utilise deux

Plus en détail

INITIATION AU LANGAGE C SUR PIC DE MICROSHIP

INITIATION AU LANGAGE C SUR PIC DE MICROSHIP COURS PROGRAMMATION INITIATION AU LANGAGE C SUR MICROCONTROLEUR PIC page 1 / 7 INITIATION AU LANGAGE C SUR PIC DE MICROSHIP I. Historique du langage C 1972 : naissance du C dans les laboratoires BELL par

Plus en détail

Université de La Rochelle. Réseaux TD n 6

Université de La Rochelle. Réseaux TD n 6 Réseaux TD n 6 Rappels : Théorème de Nyquist (ligne non bruitée) : Dmax = 2H log 2 V Théorème de Shannon (ligne bruitée) : C = H log 2 (1+ S/B) Relation entre débit binaire et rapidité de modulation :

Plus en détail

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE ARDUINO DOSSIER RESSOURCE POUR LA CLASSE Sommaire 1. Présentation 2. Exemple d apprentissage 3. Lexique de termes anglais 4. Reconnaître les composants 5. Rendre Arduino autonome 6. Les signaux d entrée

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2008-2009 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques Pentium4 Présentation du simulateur VHDL sous environnement Cadence Présentation de l outil Synopsys

Plus en détail

QUESTION 1 {2 points}

QUESTION 1 {2 points} ELE4301 Systèmes logiques II Page 1 de 8 QUESTION 1 {2 points} En se servant de paramètres électriques donnés dans le Tableau 1 ci-dessous, on désire déterminer la fréquence d opération du compteur présenté

Plus en détail

Cours de Programmation en Langage Synchrone SIGNAL. Bernard HOUSSAIS IRISA. Équipe ESPRESSO

Cours de Programmation en Langage Synchrone SIGNAL. Bernard HOUSSAIS IRISA. Équipe ESPRESSO Cours de Programmation en Langage Synchrone SIGNAL Bernard HOUSSAIS IRISA. Équipe ESPRESSO 24 septembre 2004 TABLE DES MATIÈRES 3 Table des matières 1 Introduction 5 1.1 La Programmation Temps Réel.........................

Plus en détail

La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA)

La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA) La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA) I. L'intérêt de la conversion de données, problèmes et définitions associés. I.1. Définitions:

Plus en détail

Réplication des données

Réplication des données Réplication des données Christelle Pierkot FMIN 306 : Gestion de données distribuées Année 2009-2010 Echange d information distribuée Grâce à un serveur central Une seule copie cohérente Accès à distance

Plus en détail

Eléments de spécification des systèmes temps réel Pierre-Yves Duval (cppm)

Eléments de spécification des systèmes temps réel Pierre-Yves Duval (cppm) Eléments de spécification des systèmes temps réel Pierre-Yves Duval (cppm) Ecole d informatique temps réel - La Londes les Maures 7-11 Octobre 2002 - Evénements et architectures - Spécifications de performances

Plus en détail

Les fonctions logiques

Les fonctions logiques 1 Les fonctions logiques Le fonctionnement des ordinateurs tout comme d autres appareils électroniques repose sur l emploi des circuits électroniques de logique binaire ou électronique numérique. Dans

Plus en détail

Transmissions série et parallèle

Transmissions série et parallèle 1. Introduction : Un signal numérique transmet généralement plusieurs digits binaires. Exemple : 01000001 ( huit bits). Dans une transmission numérique on peut envisager deux modes : les envoyer tous en

Plus en détail

Chapitre 4 : Les mémoires

Chapitre 4 : Les mémoires 1. Introduction: Chapitre 4 : Les mémoires Nous savons que dans un ordinateur toutes les informations : valeur numérique, instruction, adresse, symbole (chiffre, lettre,... etc.) sont manipulées sous une

Plus en détail

3. SPÉCIFICATIONS DU LOGICIEL. de l'expression des besoins à la conception. Spécifications fonctionnelles Analyse fonctionnelle et méthodes

3. SPÉCIFICATIONS DU LOGICIEL. de l'expression des besoins à la conception. Spécifications fonctionnelles Analyse fonctionnelle et méthodes PLAN CYCLE DE VIE D'UN LOGICIEL EXPRESSION DES BESOINS SPÉCIFICATIONS DU LOGICIEL CONCEPTION DU LOGICIEL LA PROGRAMMATION TESTS ET MISE AU POINT DOCUMENTATION CONCLUSION C.Crochepeyre Génie Logiciel Diapason

Plus en détail

Projet Active Object

Projet Active Object Projet Active Object TAO Livrable de conception et validation Romain GAIDIER Enseignant : M. Noël PLOUZEAU, ISTIC / IRISA Pierre-François LEFRANC Master 2 Informatique parcours MIAGE Méthodes Informatiques

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2011-2012 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques WIDEMACV1 LAAS-CNRS 2011 Présentation du simulateur VHDL sous environnement Cadence Présentation

Plus en détail

ADÉQUATION ALGORITHME-ARCHITECTURE APPLIQUÉE AUX CIRCUITS RECONFIGURABLES

ADÉQUATION ALGORITHME-ARCHITECTURE APPLIQUÉE AUX CIRCUITS RECONFIGURABLES ADÉQUATION ALGORITHME-ARCHITECTURE APPLIQUÉE AUX CIRCUITS RECONFIGURABLES AILTON F. DIAS, MOHAMED AKIL, CHRISTOPHE LAVARENNE, YVES SOREL CNEN/CDTN Divisão de Computação e Informação, CP 941-012-970 Belo

Plus en détail

STAGE IREM 0- Premiers pas en Python

STAGE IREM 0- Premiers pas en Python Université de Bordeaux 16-18 Février 2014/2015 STAGE IREM 0- Premiers pas en Python IREM de Bordeaux Affectation et expressions Le langage python permet tout d abord de faire des calculs. On peut évaluer

Plus en détail

Logique binaire. Aujourd'hui, l'algèbre de Boole trouve de nombreuses applications en informatique et dans la conception des circuits électroniques.

Logique binaire. Aujourd'hui, l'algèbre de Boole trouve de nombreuses applications en informatique et dans la conception des circuits électroniques. Logique binaire I. L'algèbre de Boole L'algèbre de Boole est la partie des mathématiques, de la logique et de l'électronique qui s'intéresse aux opérations et aux fonctions sur les variables logiques.

Plus en détail

SIN-FPGA DESCRIPTION PAR SCHEMA

SIN-FPGA DESCRIPTION PAR SCHEMA SIN-FPGA DESCRIPTION PAR SCHEMA Documents ressources: http://www.altera.com/literature/lit-index.html Introduction to Quartus II : intro_to_quartus2.pdf Documentation QUARTUS II : quartusii_handbook.pdf

Plus en détail

Le Millenium 3 pour les nuls!! Phase 2 : Les blocs fonction

Le Millenium 3 pour les nuls!! Phase 2 : Les blocs fonction Le Millenium 3 pour les nuls!! Phase 2 : Les blocs fonction Document rédigé par Pascal Bigot pour le site et le forum APPER Introduction : Le premier tutoriel vous a normalement permis de prendre en main

Plus en détail

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE QCM Remarque : - A une question correspond au moins 1 réponse juste - Cocher la ou les bonnes réponses Barème : - Une bonne réponse = +1 - Pas de réponse = 0

Plus en détail

SNT4U16 - Initiation à la programmation 2014-2015. TD - Dynamique de POP III - Fichiers sources

SNT4U16 - Initiation à la programmation 2014-2015. TD - Dynamique de POP III - Fichiers sources SNT4U16 - Initiation à la programmation Licence SVT 2 ème année 2014-2015 TD - Dynamique de POP III - Fichiers sources contacts : mathias.gauduchon@univ-amu.fr, melika.baklouti@univ-amu.fr, xavier.giraud@univ-amu.fr,

Plus en détail

Auto formation à Zelio logic

Auto formation à Zelio logic Auto formation à Zelio logic 1 Les Produits Félicitations, vous avez choisi l'un des produits Zelio 2 suivants : 2 Environnement Le Zelio Logic est programmable à l'aide du logiciel Zelio Soft ou en Saisie

Plus en détail

Algorithmique et programmation : les bases (VBA) Corrigé

Algorithmique et programmation : les bases (VBA) Corrigé PAD INPT ALGORITHMIQUE ET PROGRAMMATION 1 Cours VBA, Semaine 1 mai juin 2006 Corrigé Résumé Ce document décrit l écriture dans le langage VBA des éléments vus en algorithmique. Table des matières 1 Pourquoi

Plus en détail

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007 Introduction à l architecture des ordinateurs Adrien Lebre Décembre 2007 Plan - partie 1 Vue d ensemble La carte mère Le processeur La mémoire principal Notion de bus Introduction à l architecture des

Plus en détail

TP Modulation Démodulation BPSK

TP Modulation Démodulation BPSK I- INTRODUCTION : TP Modulation Démodulation BPSK La modulation BPSK est une modulation de phase (Phase Shift Keying = saut discret de phase) par signal numérique binaire (Binary). La phase d une porteuse

Plus en détail

CENTRAL TELEPHONIQUE ANALOGIQUE 3 LIGNES 12 POSTES autocommutateur téléphone SELECTEUR FAX TELEPHONE. Ref 3L12PF = VIP312

CENTRAL TELEPHONIQUE ANALOGIQUE 3 LIGNES 12 POSTES autocommutateur téléphone SELECTEUR FAX TELEPHONE. Ref 3L12PF = VIP312 CENTRAL TELEPHONIQUE ANALOGIQUE 3 LIGNES 12 POSTES autocommutateur téléphone SELECTEUR FAX TELEPHONE Sommaire Caractéristiques de l appareil Installation A lire attentivement avant installation Allumage

Plus en détail

REALISATION d'un. ORDONNANCEUR à ECHEANCES

REALISATION d'un. ORDONNANCEUR à ECHEANCES REALISATION d'un ORDONNANCEUR à ECHEANCES I- PRÉSENTATION... 3 II. DESCRIPTION DU NOYAU ORIGINEL... 4 II.1- ARCHITECTURE... 4 II.2 - SERVICES... 4 III. IMPLÉMENTATION DE L'ORDONNANCEUR À ÉCHÉANCES... 6

Plus en détail

SYSTEME DE TELESURVEILLANCE VIDEO

SYSTEME DE TELESURVEILLANCE VIDEO SYSTEME DE TELESURVEILLANCE VIDEO Avril - Juin 98 Résumé des auteurs : Auteurs : Bertrand LASSERRE Responsables : P. KADIONIK Y. BERTHOUMIEU Nombre de pages : 68 Nombre de pages «Annexe» : 0 Remerciements

Plus en détail

Initiation au HPC - Généralités

Initiation au HPC - Généralités Initiation au HPC - Généralités Éric Ramat et Julien Dehos Université du Littoral Côte d Opale M2 Informatique 2 septembre 2015 Éric Ramat et Julien Dehos Initiation au HPC - Généralités 1/49 Plan du cours

Plus en détail

Cours 1 : Introduction Ordinateurs - Langages de haut niveau - Application

Cours 1 : Introduction Ordinateurs - Langages de haut niveau - Application Université de Provence Licence Math-Info Première Année V. Phan Luong Algorithmique et Programmation en Python Cours 1 : Introduction Ordinateurs - Langages de haut niveau - Application 1 Ordinateur Un

Plus en détail

Système binaire. Algèbre booléenne

Système binaire. Algèbre booléenne Algèbre booléenne Système binaire Système digital qui emploie des signaux à deux valeurs uniques En général, les digits employés sont 0 et 1, qu'on appelle bits (binary digits) Avantages: on peut utiliser

Plus en détail

Configuration automatique

Configuration automatique Configuration automatique (/home/terre/d01/adp/bcousin/polys/internet:gestion_reseau/6.dhcp.fm- 29 Septembre 1999 12:07) PLAN Introduction Les principes de DHCP Le protocole DHCP Conclusion Bibliographie

Plus en détail

* très facile ** facile *** difficulté moyenne **** difficile ***** très difficile I : Incontournable T : pour travailler et mémoriser le cours

* très facile ** facile *** difficulté moyenne **** difficile ***** très difficile I : Incontournable T : pour travailler et mémoriser le cours Exo7 Continuité (étude globale). Diverses fonctions Exercices de Jean-Louis Rouget. Retrouver aussi cette fiche sur www.maths-france.fr * très facile ** facile *** difficulté moyenne **** difficile *****

Plus en détail

INTRODUCTION AUX SYSTEMES D EXPLOITATION. TD2 Exclusion mutuelle / Sémaphores

INTRODUCTION AUX SYSTEMES D EXPLOITATION. TD2 Exclusion mutuelle / Sémaphores INTRODUCTION AUX SYSTEMES D EXPLOITATION TD2 Exclusion mutuelle / Sémaphores Exclusion mutuelle / Sémaphores - 0.1 - S O M M A I R E 1. GENERALITES SUR LES SEMAPHORES... 1 1.1. PRESENTATION... 1 1.2. UN

Plus en détail

V- Manipulations de nombres en binaire

V- Manipulations de nombres en binaire 1 V- Manipulations de nombres en binaire L ordinateur est constitué de milliards de transistors qui travaillent comme des interrupteurs électriques, soit ouverts soit fermés. Soit la ligne est activée,

Plus en détail

Objectif : Passer de l analyse métier et fonctionnelle à la définition des applications qui

Objectif : Passer de l analyse métier et fonctionnelle à la définition des applications qui Formation PARTIE 1 : ARCHITECTURE APPLICATIVE DUREE : 5 h Objectif : Passer de l analyse métier et fonctionnelle à la définition des applications qui automatisent les fonctions Définir une architecture

Plus en détail

MANUEL D INSTRUCTION

MANUEL D INSTRUCTION MANUEL D INSTRUCTION ---------- Régulateur de Charge Solaire pour deux batteries, Pour Caravanes, Camping-Cars & Bateaux Courant (12V or 12/24V automatique) NOTES: Utilisable seulement avec des panneaux

Plus en détail

TRIGONOMETRIE Algorithme : mesure principale

TRIGONOMETRIE Algorithme : mesure principale TRIGONOMETRIE Algorithme : mesure principale Déterminer la mesure principale d un angle orienté de mesure! 115" Problèmatique : Appelons θ la mesure principale, θ et! 115" sont deux mesures du même angle,

Plus en détail

Fiche technique CPU 314SC/DPM (314-6CG13)

Fiche technique CPU 314SC/DPM (314-6CG13) Fiche technique CPU 314SC/DPM (3146CG13) Données techniques N de commande 3146CG13 Type CPU 314SC/DPM Information générale Note Caractéristiques SPEEDBus Technologie SPEED7 24 x DI, 16 x DO, 8 x DIO, 4

Plus en détail

Représentation des Nombres

Représentation des Nombres Chapitre 5 Représentation des Nombres 5. Representation des entiers 5.. Principe des représentations en base b Base L entier écrit 344 correspond a 3 mille + 4 cent + dix + 4. Plus généralement a n a n...

Plus en détail

1/24. I passer d un problème exprimé en français à la réalisation d un. I expressions arithmétiques. I structures de contrôle (tests, boucles)

1/24. I passer d un problème exprimé en français à la réalisation d un. I expressions arithmétiques. I structures de contrôle (tests, boucles) 1/4 Objectif de ce cours /4 Objectifs de ce cours Introduction au langage C - Cours Girardot/Roelens Septembre 013 Du problème au programme I passer d un problème exprimé en français à la réalisation d

Plus en détail

Algorithmique des Systèmes Répartis Protocoles de Communications

Algorithmique des Systèmes Répartis Protocoles de Communications Algorithmique des Systèmes Répartis Protocoles de Communications Master Informatique Dominique Méry Université de Lorraine 1 er avril 2014 1 / 70 Plan Communications entre processus Observation et modélisation

Plus en détail

Organisation des Ordinateurs

Organisation des Ordinateurs Organisation des Ordinateurs Bernard Boigelot E-mail : boigelot@montefiore.ulg.ac.be URL : http://www.montefiore.ulg.ac.be/~boigelot/ http://www.montefiore.ulg.ac.be/~boigelot/cours/org/ 1 Chapitre 1 Les

Plus en détail

RACCOURCIS CLAVIERS. DEFINITION : Une «combinaison de touches» est un appui simultané sur plusieurs touches.

RACCOURCIS CLAVIERS. DEFINITION : Une «combinaison de touches» est un appui simultané sur plusieurs touches. S Vous n aimez pas la souris Les raccourcis clavier sont là pour vous faciliter la vie! INTRODUCTION : Vous avez du mal à vous habituer à la manipulation de la souris Des solutions existent : les raccourcis

Plus en détail

Architecture : Circuits numériques et éléments d architecture

Architecture : Circuits numériques et éléments d architecture Ecole Nationale Supérieure d Informatique et de Mathématiques Appliquées Architecture : Circuits numériques et éléments d architecture 1 ère année Année scolaire 2014 2015 Consignes Les exercices de ce

Plus en détail

Débuter avec Excel. Excel 2007-2010

Débuter avec Excel. Excel 2007-2010 Débuter avec Excel Excel 2007-2010 Fabienne ROUX Conseils & Formation 10/04/2010 TABLE DES MATIÈRES LE RUBAN 4 LE CLASSEUR 4 RENOMMER LES FEUILLES DU CLASSEUR 4 SUPPRIMER DES FEUILLES D UN CLASSEUR 4 AJOUTER

Plus en détail

Carte Relais GSM (Manuel Utilisateur)

Carte Relais GSM (Manuel Utilisateur) Carte Relais GSM (Manuel Utilisateur) Carte Relais GSM Introduction Cette carte est une véritable centrale de télécommande et d alarme par GSM. Elle se connecte par un port série à un modem GSM compatible

Plus en détail

Architecture des ordinateurs

Architecture des ordinateurs Décoder la relation entre l architecture et les applications Violaine Louvet, Institut Camille Jordan CNRS & Université Lyon 1 Ecole «Découverte du Calcul» 2013 1 / 61 Simulation numérique... Physique

Plus en détail

Ordonnancement temps réel

Ordonnancement temps réel Ordonnancement temps réel Laurent.Pautet@enst.fr Version 1.5 Problématique de l ordonnancement temps réel En fonctionnement normal, respecter les contraintes temporelles spécifiées par toutes les tâches

Plus en détail

SUGARCRM MODULE RAPPORTS

SUGARCRM MODULE RAPPORTS SUGARCRM MODULE RAPPORTS Référence document : SYNOLIA_Support_SugarCRM_Module_Rapports_v1.0.docx Version document : 1.0 Date version : 2 octobre 2012 Etat du document : En cours de rédaction Emetteur/Rédacteur

Plus en détail

Date : 18.11.2013 Tangram en carré page

Date : 18.11.2013 Tangram en carré page Date : 18.11.2013 Tangram en carré page Titre : Tangram en carré Numéro de la dernière page : 14 Degrés : 1 e 4 e du Collège Durée : 90 minutes Résumé : Le jeu de Tangram (appelé en chinois les sept planches

Plus en détail

Temps Réel. Jérôme Pouiller <j.pouiller@sysmic.org> Septembre 2011

Temps Réel. Jérôme Pouiller <j.pouiller@sysmic.org> Septembre 2011 Temps Réel Jérôme Pouiller Septembre 2011 Sommaire Problèmatique Le monotâche Le multitâches L ordonnanement Le partage de ressources Problèmatiques des OS temps réels J. Pouiller

Plus en détail

1. Structure d un programme C. 2. Commentaire: /*..texte */ On utilise aussi le commentaire du C++ qui est valable pour C: 3.

1. Structure d un programme C. 2. Commentaire: /*..texte */ On utilise aussi le commentaire du C++ qui est valable pour C: 3. 1. Structure d un programme C Un programme est un ensemble de fonctions. La fonction "main" constitue le point d entrée pour l exécution. Un exemple simple : #include int main() { printf ( this

Plus en détail

CARPE. Documentation Informatique S E T R A. Version 2.00. Août 2013. CARPE (Documentation Informatique) 1

CARPE. Documentation Informatique S E T R A. Version 2.00. Août 2013. CARPE (Documentation Informatique) 1 CARPE (Documentation Informatique) 1 CARPE Version 2.00 Août 2013 Documentation Informatique S E T R A Programme CARPE - Manuel informatique de l'utilisateur CARPE (Documentation Informatique) 2 Table

Plus en détail

Cours d algorithmique pour la classe de 2nde

Cours d algorithmique pour la classe de 2nde Cours d algorithmique pour la classe de 2nde F.Gaudon 10 août 2009 Table des matières 1 Avant la programmation 2 1.1 Qu est ce qu un algorithme?................................. 2 1.2 Qu est ce qu un langage

Plus en détail

Représentation d un entier en base b

Représentation d un entier en base b Représentation d un entier en base b 13 octobre 2012 1 Prérequis Les bases de la programmation en langage sont supposées avoir été travaillées L écriture en base b d un entier est ainsi défini à partir

Plus en détail

Présentation du PL/SQL

Présentation du PL/SQL I Présentation du PL/ Copyright Oracle Corporation, 1998. All rights reserved. Objectifs du Cours A la fin de ce chapitre, vous saurez : Décrire l intéret du PL/ Décrire l utilisation du PL/ pour le développeur

Plus en détail

Vous êtes bien à la bonne présentation, c est juste que je trouvais que le titre de cette présentation étais un peu long,

Vous êtes bien à la bonne présentation, c est juste que je trouvais que le titre de cette présentation étais un peu long, Vous êtes bien à la bonne présentation, c est juste que je trouvais que le titre de cette présentation étais un peu long, en fait ça me faisait penser au nom d un certain projet gouvernemental je me suis

Plus en détail

Recueil d'exercices de logique séquentielle

Recueil d'exercices de logique séquentielle Recueil d'exercices de logique séquenielle Les bascules: / : Bascule JK Bascule D. Expliquez commen on peu modifier une bascule JK pour obenir une bascule D. 2/ Eude d un circui D Q Q Sorie A l aide d

Plus en détail

îundesdruokerei Berlin

îundesdruokerei Berlin Jtfk Europaisches Patentamt ^jll European Patent Office Numéro de publication: 0 295 972 Office européen des brevets A1 DEMANDE DE BREVET EUROPEEN Numéro de dépôt: 88401048.9 Int. Cl.4: G 05 B 19/10 @

Plus en détail

Modules d automatismes simples

Modules d automatismes simples Modules d automatismes simples Solutions pour automatiser Modules d'automatismes Enfin, vraiment simple! Un concentré de solution Pour vos petites applications d'automatismes millenium gère : Temporisations

Plus en détail

Centrales de mesures. CENTRALES DE MESURES Nemo. A.6 Guide de choix. A.14 4 Modules. A.20 Encastré 72x72. A.24 Encastré 96x96. A.

Centrales de mesures. CENTRALES DE MESURES Nemo. A.6 Guide de choix. A.14 4 Modules. A.20 Encastré 72x72. A.24 Encastré 96x96. A. I N S T R U M E N T S D E M E S U R E CENTRLES DE MESURES Nemo Centrales de mesures Nemo Les centrales de mesures composant la gamme IMESYS permettent la surveillance, le contrôle et la gestion de toute

Plus en détail

- Instrumentation numérique -

- Instrumentation numérique - - Instrumentation numérique - I.Présentation du signal numérique. I.1. Définition des différents types de signaux. Signal analogique: Un signal analogique a son amplitude qui varie de façon continue au

Plus en détail

RESUME DE COURS ET CAHIER D'EXERCICES

RESUME DE COURS ET CAHIER D'EXERCICES ARCITECTURE INFO-UP REUME DE COUR ET CAIER D'EXERCICE EPITA F. GABON Architecture EPITA INFO-UP F. Gabon COUR LIVRE D ARCITECTURE 3 REUME D'ELECTRONIUE LOGIUE 4 YTEME DE NUMERATION 6 ALGEBRE DE BOOLE 6

Plus en détail

Capacité étendue d utilisation en réseau

Capacité étendue d utilisation en réseau Fiche technique n VIBOFF_1A_f Emonitor Odyssey introduit une nouvelle conception de la maintenance conditionnelle (prédictive) en automatisant les opérations de routine afin d améliorer les vitesses de

Plus en détail

Comprendre «le travail collaboratif»

Comprendre «le travail collaboratif» Comprendre «le travail collaboratif» Samuel Genevieve-Anastasie 08/06/2010 comité utilisateur 1 Plan présentation Qu est-ce que le travail collaboratif? Objectifs du travail collaboratif Le travail collaboratif

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot Année universitaire 2014-2015 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 Codage des nombres en base 2, logique

Plus en détail

1 Architecture du cœur ARM Cortex M3. Le cœur ARM Cortex M3 sera présenté en classe à partir des éléments suivants :

1 Architecture du cœur ARM Cortex M3. Le cœur ARM Cortex M3 sera présenté en classe à partir des éléments suivants : GIF-3002 SMI et Architecture du microprocesseur Ce cours discute de l impact du design du microprocesseur sur le système entier. Il présente d abord l architecture du cœur ARM Cortex M3. Ensuite, le cours

Plus en détail

Atelier C TIA Portal CTIA04 : Programmation des automates S7-300 Opérations numériques

Atelier C TIA Portal CTIA04 : Programmation des automates S7-300 Opérations numériques Atelier C TIA Portal CTIA04 : Programmation des automates S7-300 Opérations numériques CTIA04 Page 1 1. Les types de données sous S7 300 Il existe plusieurs types de données utilisées pour la programmation

Plus en détail

Initiation à la programmation en Python

Initiation à la programmation en Python I-Conventions Initiation à la programmation en Python Nom : Prénom : Une commande Python sera écrite en caractère gras. Exemples : print 'Bonjour' max=input("nombre maximum autorisé :") Le résultat de

Plus en détail

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd UE 503 L3 MIAGE Initiation Réseau et Programmation Web La couche physique A. Belaïd abelaid@loria.fr http://www.loria.fr/~abelaid/ Année Universitaire 2011/2012 2 Le Modèle OSI La couche physique ou le

Plus en détail

Représentation d un nombre en machine, erreurs d arrondis

Représentation d un nombre en machine, erreurs d arrondis Chapitre Représentation d un nombre en machine, erreurs d arrondis Ce chapitre est une introduction à la représentation des nombres en machine et aux erreurs d arrondis, basé sur [], [].. Un exemple :

Plus en détail

Cours de Génie Logiciel

Cours de Génie Logiciel Cours de Génie Logiciel Sciences-U Lyon Diagrammes UML (2) http://www.rzo.free.fr Pierre PARREND 1 Avril 2005 Sommaire Les Diagrammes UML Diagrammes de Collaboration Diagrammes d'etats-transitions Diagrammes

Plus en détail

Les liaisons SPI et I2C

Les liaisons SPI et I2C DAMÉCOURT BENJAMIN AVRIL 28 Liaisons synchrones Les liaisons SPI et I2C Face arrière d un imac : trois ports USB, un port Firewire 4 et un port Firewire 8 CHRONOLOGIE ANNÉES 7 La liaison SPI et la création

Plus en détail

Atelier C TIA Portal CTIA06 : programmation des automates S7-300 Blocs d organisation

Atelier C TIA Portal CTIA06 : programmation des automates S7-300 Blocs d organisation Atelier C TIA Portal CTIA06 : programmation des automates S7-300 Blocs d organisation CTIA06 Page 1 1. Types de blocs de programme L automate met à disposition différents types de blocs qui contiennent

Plus en détail

SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION. Contenu du dossier :

SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION. Contenu du dossier : SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION Contenu du dossier : 1. PRESENTATION DU SYSTEME DE PALPAGE A TRANSMISSION RADIO....1 1.1. DESCRIPTION DU FABRICANT....1

Plus en détail

Module EC2b Programmation et Automatisation Bâtiment

Module EC2b Programmation et Automatisation Bâtiment Licence Professionnelle EGC-ITEB Energie - Génie Climatique: Intelligence Technique et Energétique du Bâtiment Module EC2b Programmation et Automatisation Bâtiment par Pr. Eddy BAJIC IUT Nancy Brabois

Plus en détail

Surveillance de Scripts LUA et de réception d EVENT. avec LoriotPro Extended & Broadcast Edition

Surveillance de Scripts LUA et de réception d EVENT. avec LoriotPro Extended & Broadcast Edition Surveillance de Scripts LUA et de réception d EVENT avec LoriotPro Extended & Broadcast Edition L objectif de ce document est de présenter une solution de surveillance de processus LUA au sein de la solution

Plus en détail

Proteus Design Suite V7 Instruments virtuels

Proteus Design Suite V7 Instruments virtuels Proteus Design Suite V7 Instruments virtuels Le modèle d oscilloscope virtuel...2 Généralités...2 Utilisation de l oscilloscope...2 Le modèle d analyseur logique...5 Généralités...5 Utilisation de l analyseur

Plus en détail

I- Définitions des signaux.

I- Définitions des signaux. 101011011100 010110101010 101110101101 100101010101 Du compact-disc, au DVD, en passant par l appareil photo numérique, le scanner, et télévision numérique, le numérique a fait une entrée progressive mais

Plus en détail

Chapitre 4 : Exclusion mutuelle

Chapitre 4 : Exclusion mutuelle Chapitre 4 : Exclusion mutuelle Pierre Gançarski Juillet 2004 Ce support de cours comporte un certain nombre d erreurs : je décline toute responsabilité quant à leurs conséquences sur le déroulement des

Plus en détail

Arbres binaires de recherche

Arbres binaires de recherche 1 arbre des comparaisons 2 recherche dichotomique l'arbre est recalculé à chaque recherche 2 5 3 4 7 9 1 6 1 2 3 4 5 6 7 9 10 conserver la structure d'arbre au lieu de la reconstruire arbre binaire de

Plus en détail

INSTALLATION ET CONFIGURATION DE HYPER V3

INSTALLATION ET CONFIGURATION DE HYPER V3 INSTALLATION ET CONFIGURATION DE HYPER V3 I. Installation de HyperV3 sous Windows serveur 2012 HyperV3 est le successeur de HyperV2 apparu sous les versions de Windows serveur 2008 R2, c est puissant Hyper

Plus en détail