Ecole Polytechnique Universitaire de Montpellier. Place Eugène Bataillon, Montpellier cedex 05, FRANCE

Dimension: px
Commencer à balayer dès la page:

Download "Ecole Polytechnique Universitaire de Montpellier. Place Eugène Bataillon, Montpellier cedex 05, FRANCE"

Transcription

1 Circuits séquentiels élémentaires Ecole Polytechnique Universitaire de Montpellier Université Montpellier II Place Eugène Bataillon, Montpellier cedex 05, FRANCE Laboratoire d'informatique, de Robotique et de Microélectronique de Montpellier UMR 5506 Université Montpellier II / CNRS 161 rue Ada, Montpellier cedex 05, FRANCE 1

2 Combinatoire / Séquentiel A0 A1 A2 A3 B0 B1 B2 B3 Additionneur S0 S1 S2 S3 E1 E2 E3 E4 ECOEUR 7 SEGMENTS a b c d e f g 2

3 Combinatoire / Séquentiel Compteur A[3..0] Additionneur S[3..0] B[3..0] 3 voie B V A voie A INI OC URG COMMANE E FEUX E CARREFOUR O A R A V B O B R B 3

4 Combinatoire / Séquentiel Entrées commandes Entrées data CONTROLEUR Commandes Statuts Sorties 4

5 Combinatoire / Séquentiel a C S S = C.a + C.b b e C e S C S 0 0 S 0 1 S

6 Combinatoire : Combinatoire / Séquentiel -Lorsque les données d entrée sont disponibles au même instant (mots en //) - Les sorties dépendent d uniquement tde la fonction et des données d entrées Ei Si => f(ei) Séquentiel : -Lorsque les données d entrée sont réparties dans le temps (mots en série ou séquences) - Mémorisation de données -Les sorties dépendent de la fonction, des données d entrées et des données mémorisées Ei Si => f(ei,i) i 6

7 Synchrone / Asynchrone Ei Si Ei Si i i éfinition: Un système séquentiel est asynchrone si à partir de l'instant ou on applique un vecteur d'entrée, son évolution est incontrôlable de l'extérieur. éfinition: Un système séquentiel est synchrone si son évolution est contrôlable de l'extérieur par un signal d'horloge 7

8 Bascule RS La bascule RS est un dispositif à deux entrées R et S et une sortie présentant la propriété suivante: -une apparition (même fugitive) de S entraîne durablement =1 -une apparition (même fugitive de R) entraîne durablement =0. R S n S R n+1 SR n X X X X n+1 L'énoncé du problème est incomplet: les combinaisons (3) et (7) ne sont pas définies. Elles correspondent à des ordres d'enclenchement (SET) et de déclenchement (RESET) simultanés. En laissant le problème incomplètement spécifié, on peut obtenir plusieurs équations de la bascule 8

9 Bascule RS SR n n+1 = SR' S.R + n.r R' =(S + n )R'= ((S + n )' +R)' (1) 0 n+1 = S + n.r' = (S'. ( n.r)')' (2) X X 1 S (') S S' n+1 S R n+1 R (1) R R' (2) (') 0 0 n Interdit 9 (R,S)=(1,1) (1 1) introduit it une indétermination. i ti En effet, le passage de la combinaison (R,S)=(1,1) à (R,S)=(0,0) entraîne deux valeurs possibles sur selon que R ou S commute en premier. Si l'on interdit la combinaison (R,S)=(1,1) on remarque que sur les deux structures, la connexion symétrique de la sortie porte la valeur.

10 Bascule RS Avantages: Simplicité Inconvénients ispositif asynchrone Etat t interdit Sensibilité aux parasites (transitoires) 10

11 Phénomènes de rebonds +5V Bp R Bp s s t t 11

12 ispositif anti-rebonds R +5V R S' s Bp R t R' S t Bp Reset ou Etat mémoire Set ou Etat mémoire s t t t 12

13 Bascule RS La bascule RS est une bascule RS synchronisée par un signal d'horloge. Lorsque =0, la bascule est dans l'état mémoire. Lorsque =1, la bascule fonctionne comme une bascule RS. Cette bascule a toujours un état interdit et fonctionne sur les niveaux d'horloge. R S S R n n Interdit = 1 S R (') 13

14 Bascule RS Fonctionnement sur niveau de l horloge (=1) Avantages: Insensibilité aux parasites (=0) Inconvénients Etat interdit Sensibilité aux parasites (=1) 14

15 Bascule -latch La bascule -Latch est une bascule conçue sur le même principe que la RS. Elle est obtenue à partir d'une bascule RS en ne considérant que les deux combinaisons (R,S) = (0,1) et (1,0). (n+1) n+1 = n = 1 (S) (R) (') 15

16 Bascule -latch Fonctionnement sur niveau de l horloge (=1) Avantages: Pas d état interdit Insensibilité aux parasites (=0) Inconvénients Sensibilité aux parasites (=1) 16

17 Bascule _Latch Réalisation en CMOS 17

18 Bascule (Maître-Esclave) Les bascules maître-esclaves permettent de diminuer la sensibilité aux parasites en minimisant la période de transparence. Elles fonctionnent sur le front d'horloge dhorloge. La bascule maître-esclave est constituée de 2 -Latch en cascade. (n+1) 0 0 n+1 = n 1 1 = Maître Esclave _Latch 0 _Latch 0 18

19 Bascule Réalisation en CMOS Maître Esclave 19

20 Bascule (Maître-Esclave) Fonctionnement sur fronts d horloge Mémorisation (transfert) de données Avantages: ispositif synchrone Pas d état interdit Insensibilité aux parasites 20

21 Bascule T (Toggle) Comme la bascule, la bascule T fonctionne sur front d horloge. Elle permet de conserver la valeur de sortie précédente ou de l inverser. Ce type de bascule est particulièrement intéressant pour la réalisation de compteurs. La bascule T peut être réalisée à partir d une bascule. T T (n+1) 0 (n) 1 (n) (n) = T 21

22 Bascule JK Comme la bascule, la bascule JK est une bascule fonctionnant sur front. Elle dispose par contre de 2 entrées J et K. La bascule JK peut être réalisée à partir d une dune bascule. J K JK (n+1) 00 n n = J K Avec = J K + JK + JK = J.n + K.n 22

23 Bascule JK (autre réalisation) La bascule JK peut également être réalisée à partir de de 2 RS en cascade et d un rebouclage permettant d éliminer l état interdit de la RS. J K JK (n+1) 00 n n+1 = J.n + K.n n = Maître Esclave J K RS 0 0 RS 23

24 Initialisation des bascules Initialisation asynchrone par signaux de Preset (RAU) et Clear (RAZ). Preset Preset Clear Clear Structure à reporter sur les 2 étages (Maître et Esclave) de la bascule. 24

25 Initialisation des bascules Initialisation synchrone par signaux de Preset (RAU) et Clear (RAZ). 0 = Clear.Preset. + Clear.0 + Preset.1 (Preset Prioritaire) =Clear+Preset Clear. Clear Preset 0 Bascule 25

26 Inhibition des bascules Inib 0 Bascule Inib 0 = Inib. + Inib. 26

27 Paramètres temporels des bascules ou JK Temps de Setup Temps de old TpL,TpL TpL(RAZ) TpL(RAU) 27

28 Registres Les registres sont des associations de bascules permettant de mémoriser et de réaliser certaines opérations sur des mots logiques E3 E2 E1 E0 = S3 S2 S1 S0 = E S S3 S2 S1 S0 28

29 Registres Chargement // (C=1) et Inhibition (C=0) E3 E2 E1 E0 C S3 S2 S1 S0 i = C.Ei + C.Si 29

30 Registre universel C1C2 = 00 Chargement parallèle C1C2 = 01 écalage à droite C1C2 = 10 écalage à gauche C1C2 = 11 Inhibition de l'horloge. i = C1.C2.ei + C1.C2.Si-1 + C1.C2.Si+1 + C1.C2.Si E3 E2 E1 E0 S3 EG S3 S2 S2 S1 S1 S0 S2 S1 S0 E C1 C Ecrit 30 S3 S2 S1 S0

31 Mémoires ata In Adr R/W CS ata Out 31

32 Mémoires ITRS roadmap: International Technology Roadmap for Semiconductors New logic Reused logic Memory

33 Mémoires - Principe d e c o d e u r R/W CS ata In d e l i g n e A Adr A L AC écodeur de colonne ata Out => Inhibition = f(cs. R/W. A L. A C ) 33

34 Cellule Mémoire SRAM WOR BIT BIT WOR BIT BIT 34

35 Plan Mémoire SRAM MUX MUX MUX MUX Sense Amp Sense Amp Sense Amp Sense Amp 35

36 Modèle fonctionnel d une mémoire SRAM WL-prede ecode WLdec code WL driv vers lines Word Memory cell array Bit lines Address Address latch Bit line precharge Bit lines BL-predecode BL-decode Bit line muxs Sense amps Write drivers Write enable Chip select Timing generation ata out latches ata in latches Clock out in 36

37 Exemple de Floorplan (RAM) 37

38 Cellule Mémoire RAM WOR C~25nF BIT 38

39 Compteur Un compteur est une association de n bascules permettant de décrire, au rythme d'une horloge, une séquence déterminée qui peut avoir au maximum 2 n combinaisons différentes éfinition: Une combinaison de sortie d'un compteur est appelée état. Le nombre d'états différents pour un compteur est appelé le modulo ~ de ce compteur. 39

40 Compteur Un compteur est une association de n bascules permettant de décrire, au rythme d'une horloge, une séquence déterminée qui peut avoir au maximum 2 n combinaisons différentes

41 Compteur asynchrone T 1 T0 1 T1 1 T2 ' 0' 1' 2'

42 écompteur asynchrone Pour réaliser un décompteur il suffit de considérer sur les sorties des bascules ou de réaliser le même montage avec des bascules fonctionnant sur front montant T0 1 T1 1 T2 0' 1' 2' 0' 1' 2' 2'1'

43 Compteur asynchrone par 6 Pour réaliser un compteur ou un décompteur dont le cycle n'est pas une puissance de 2, la seule solution est d'agir sur l'entrée "Clear" lorsque la combinaison correspondant au modulo du compteur ce produit sur les sorties de celui ci Clear 1 T0 1 T1 1 T Clear

44 Compteur asynchrone () 1 T J 1 ' ' ' K ' 1' 2' 44

45 Inconvénients des compteurs asynchrones ppp Tm = p * n élai de propagation du compteur T Tm Période de l'horloge F 1/(Tm) = 1 / (n*p) Fréquence de l'horloge 45

46 Inconvénients des compteurs asynchrones Compteurs / écompteur Modification de l état T0 1 T1 1 T2 0' 1' 2' 46

47 Inconvénients des compteurs asynchrones Logique sur des signaux asynchrones (, Clear) Risque de transitoires Clear 1 T0 1 T1 1 T2 47

48 Règles élémentaires de conception Pas de logique sur les signaux - d horloge () -de forçage (Clear, Preset) 6 a INPUT VCC AN2 1 OR2 5 com INPUT VCC NOT AN2 3 OUTPUT 8 s 48

49 Compteur synchrone Clear 0 1 T0 T1 T2??? 2 Clear ??? 2 Clear 0 J1 1??? J0 J2 2? K0? K1? K2 49

50 Compteur synchrone Clear 0 1??? T0 T1 T T0 = 1 T1 = T2 = Tn = n

51 Compteur synchrone T0 T1 T2 0' 1' 2' Tm = p élai de propagation du compteur T Tm Période de l'horloge F 1/(Tm) = 1 / p Fréquence de l'horloge 51

52 écompteur synchrone Un décompteur peut être obtenu en sortant sur les sortie du compteur. On peut également réaliser un décompteur en remarquant sur la table de vérité que le bit de poids faible change à tous les coups d'horloge et qu'un bit quelconque change lorsque tous les bits de droite sont égaux à 0. T0 = 1 T1 = 0 T2 = T0 0' 0 Tn = n-1 T1 1' 1 T2 2' 2 52

53 Compteur / décompteur synchrone Par le même raisonnement, on peut déterminer la structure d'un compteur / décompteur synchrone dont le mode comptage ou décomptage est commandé par une commande C (C=0 => Comptage, C=1 => écomptage). T0 = 1 T1 = C.0 + C.0 = C 0 T2 = C C.0.1 Tn = C n-1 1 n 1+C0 C n-1 1 C T2 2 T1 1 T0=1 0 53

54 Compteur synchrone par 6 Pour réaliser un compteur, un décompteur ou un compteur / décompteur dont le cycle n'est pas une puissance de 2, il faut recalculer les fonctions d'entrée des bascules Soit C5 un flag prévenant qu'on est sur la combinaison 5. C5 = T0 = 1 (Même fonctionnement que C5 vaille 0 ou 1) T1 = C5.0 + C5.0 = C5.0 (Conservation de la valeur de sortie lorsque C5=1) T2 = C C5.1 = C C5 (Inv. de la valeur de sortie lorsque C5=1) 54

55 Compteur / écompteur par 6 avec Inhibition Inib Compt Clear i Inib : Signal d inhibition du compteur (actif sur niveau 1) Compt : Signal de comptage (1) / écomptage (0) C0 : étection de la combinaison 0 C5 : étection de la combinaison 5 T0 = Inib T1 = Inib [Compt{C5.0)} + Compt {C0.0 } ] T2 = Inib [Compt{C C5} + Compt {C C0].1 C0] = 55

56 Bascules T, et JK E T E E J K 56

57 Compteur synchrone () Clear ??? (n+1) = 0 1 = = = (0.1).2.2 = n =...

58 écompteur synchrone () Clear ??? (n+1) = 0 1 = = (0 1) 2 = (0+1). 2 + (0+1).2 = (0+1) 2 58 n =...

59 Compteur / écompteur par 6 avec Inhibition Inib Compt Clear = i Inib : Signal d inhibition du compteur (actif sur niveau 1) Compt : Signal de comptage (1) / écomptage (0) C0 : étection de la combinaison 0 C5 : étection de la combinaison 5 0 = [Inib [Compt{C5.0 + C5.0 } + Compt {C C00 } C0.0 ]+Inib Inib. 0] = [Inib [0' ] + Inib. 0] = Inib 0 1 = [Inib [Compt{C5.( )+C5.0} + Compt {C0.( ) + C0.0} ] + Inib. 0] = [Inib [Compt{C5 [Compt{C5.(0 1)} + Compt {C0.(0 1 ) } ] + Inib. 0] 2 = [Inib [Compt{C5.(0.1 [ 2)} + Compt {C0.(0+1 2 ) } ] + Inib. 0] 59

60 Règles de conception (Registres, Compteurs, ) Pas de logique sur les signaux - d horloge () -de forçage (Clear, Preset) Clear Contrôle Contrôle e0 e1 e2 Clear ei i?? 1? 0 2 =

61 Règles de conception (Circuit) Pas de logique sur les signaux - d horloge () -de forçage (Clear, Preset) Clear Control ata_in Bloc1 Bloc2 Bloc3 Bloc4 ata_out 61

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE I/ GÉNÉRALITÉS I.1/ Fonction Un compteur binaire est utilisé : -pour compter un certain nombre d'évènements binaires -pour diviser la fréquence d'un signal logique par 2 m Page 1 FONCTION COMPTAGE BINAIRE

Plus en détail

VIII- Circuits séquentiels. Mémoires

VIII- Circuits séquentiels. Mémoires 1 VIII- Circuits séquentiels. Mémoires Maintenant le temps va intervenir. Nous avions déjà indiqué que la traversée d une porte ne se faisait pas instantanément et qu il fallait en tenir compte, notamment

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot et Sébastien Viardot Année universitaire 2011-2012 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 C12 Codage des

Plus en détail

IFT1215 Introduction aux systèmes informatiques

IFT1215 Introduction aux systèmes informatiques Introduction aux circuits logiques de base IFT25 Architecture en couches Niveau 5 Niveau 4 Niveau 3 Niveau 2 Niveau Niveau Couche des langages d application Traduction (compilateur) Couche du langage d

Plus en détail

Logique séquentielle

Logique séquentielle Bascules et logique séquentielle aniel Etiemble de@lri.fr Logique séquentielle Logique séquentielle Le système a des «états» ans un système séquentiel Éléments de mémorisation Les sorties dépendent des

Plus en détail

QUESTION 1 {2 points}

QUESTION 1 {2 points} ELE4301 Systèmes logiques II Page 1 de 8 QUESTION 1 {2 points} En se servant de paramètres électriques donnés dans le Tableau 1 ci-dessous, on désire déterminer la fréquence d opération du compteur présenté

Plus en détail

Logique binaire. Aujourd'hui, l'algèbre de Boole trouve de nombreuses applications en informatique et dans la conception des circuits électroniques.

Logique binaire. Aujourd'hui, l'algèbre de Boole trouve de nombreuses applications en informatique et dans la conception des circuits électroniques. Logique binaire I. L'algèbre de Boole L'algèbre de Boole est la partie des mathématiques, de la logique et de l'électronique qui s'intéresse aux opérations et aux fonctions sur les variables logiques.

Plus en détail

Fiche technique CPU 314SC/DPM (314-6CG13)

Fiche technique CPU 314SC/DPM (314-6CG13) Fiche technique CPU 314SC/DPM (3146CG13) Données techniques N de commande 3146CG13 Type CPU 314SC/DPM Information générale Note Caractéristiques SPEEDBus Technologie SPEED7 24 x DI, 16 x DO, 8 x DIO, 4

Plus en détail

Chapitre 4 : Les mémoires

Chapitre 4 : Les mémoires 1. Introduction: Chapitre 4 : Les mémoires Nous savons que dans un ordinateur toutes les informations : valeur numérique, instruction, adresse, symbole (chiffre, lettre,... etc.) sont manipulées sous une

Plus en détail

La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA)

La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA) La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA) I. L'intérêt de la conversion de données, problèmes et définitions associés. I.1. Définitions:

Plus en détail

ELP 304 : Électronique Numérique. Cours 1 Introduction

ELP 304 : Électronique Numérique. Cours 1 Introduction ELP 304 : Électronique Numérique Cours 1 Introduction Catherine Douillard Dépt Électronique Les systèmes numériques : généralités (I) En électronique numérique, le codage des informations utilise deux

Plus en détail

CONVERTISSEURS NA ET AN

CONVERTISSEURS NA ET AN Convertisseurs numériques analogiques (xo Convertisseurs.doc) 1 CONVTIU NA T AN NOT PLIMINAI: Tous les résultats seront exprimés sous formes littérales et encadrées avant les applications numériques. Les

Plus en détail

GPA770 Microélectronique appliquée Exercices série A

GPA770 Microélectronique appliquée Exercices série A GPA770 Microélectronique appliquée Exercices série A 1. Effectuez les calculs suivants sur des nombres binaires en complément à avec une représentation de 8 bits. Est-ce qu il y a débordement en complément

Plus en détail

RESUME DE COURS ET CAHIER D'EXERCICES

RESUME DE COURS ET CAHIER D'EXERCICES ARCITECTURE INFO-UP REUME DE COUR ET CAIER D'EXERCICE EPITA F. GABON Architecture EPITA INFO-UP F. Gabon COUR LIVRE D ARCITECTURE 3 REUME D'ELECTRONIUE LOGIUE 4 YTEME DE NUMERATION 6 ALGEBRE DE BOOLE 6

Plus en détail

Manipulations du laboratoire

Manipulations du laboratoire Manipulations du laboratoire 1 Matériel Les manipulations de ce laboratoire sont réalisées sur une carte électronique comprenant un compteur 4-bit asynchrone (74LS93) avec possibilité de déclenchement

Plus en détail

Les fonctions logiques

Les fonctions logiques 1 Les fonctions logiques Le fonctionnement des ordinateurs tout comme d autres appareils électroniques repose sur l emploi des circuits électroniques de logique binaire ou électronique numérique. Dans

Plus en détail

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits Architecture des ordinateurs TD1 - Portes logiques et premiers circuits 1 Rappel : un peu de logique Exercice 1.1 Remplir la table de vérité suivante : a b a + b ab a + b ab a b 0 0 0 1 1 0 1 1 Exercice

Plus en détail

Système binaire. Algèbre booléenne

Système binaire. Algèbre booléenne Algèbre booléenne Système binaire Système digital qui emploie des signaux à deux valeurs uniques En général, les digits employés sont 0 et 1, qu'on appelle bits (binary digits) Avantages: on peut utiliser

Plus en détail

Fiche technique CPU 315SN/PN (315-4PN33)

Fiche technique CPU 315SN/PN (315-4PN33) Fiche technique CPU 315SN/PN (315-4PN33) Données techniques N de commande 315-4PN33 Information générale Note - Caractéristiques SPEED-Bus - Données techniques de l'alimentation Alimentation (valeur nominale)

Plus en détail

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd UE 503 L3 MIAGE Initiation Réseau et Programmation Web La couche physique A. Belaïd abelaid@loria.fr http://www.loria.fr/~abelaid/ Année Universitaire 2011/2012 2 Le Modèle OSI La couche physique ou le

Plus en détail

Recueil d'exercices de logique séquentielle

Recueil d'exercices de logique séquentielle Recueil d'exercices de logique séquenielle Les bascules: / : Bascule JK Bascule D. Expliquez commen on peu modifier une bascule JK pour obenir une bascule D. 2/ Eude d un circui D Q Q Sorie A l aide d

Plus en détail

Tout savoir sur le matériel informatique

Tout savoir sur le matériel informatique Tout savoir sur le matériel informatique Thème de l exposé : Les Processeurs Date : 05 Novembre 2010 Orateurs : Hugo VIAL-JAIME Jérémy RAMBAUD Sommaire : 1. Introduction... 3 2. Historique... 4 3. Relation

Plus en détail

On distingue deux grandes catégories de mémoires : mémoire centrale (appelée également mémoire interne)

On distingue deux grandes catégories de mémoires : mémoire centrale (appelée également mémoire interne) Mémoire - espace destiné a recevoir, conserver et restituer des informations à traiter - tout composant électronique capable de stocker temporairement des données On distingue deux grandes catégories de

Plus en détail

Les liaisons SPI et I2C

Les liaisons SPI et I2C DAMÉCOURT BENJAMIN AVRIL 28 Liaisons synchrones Les liaisons SPI et I2C Face arrière d un imac : trois ports USB, un port Firewire 4 et un port Firewire 8 CHRONOLOGIE ANNÉES 7 La liaison SPI et la création

Plus en détail

SUR MODULE CAMÉRA C38A (OV7620)

SUR MODULE CAMÉRA C38A (OV7620) Applications maquette d'étude EP10K20 DÉMULTIPLEXEUR BT.656 SUR MODULE CAMÉRA C38A OV7620 SCHÉMAS ET DESCRIPTIONS AHDL 1. Schéma principal Le démultiplexeur proprement dit est la fonction "Decod_BT656_1".

Plus en détail

TD Architecture des ordinateurs. Jean-Luc Dekeyser

TD Architecture des ordinateurs. Jean-Luc Dekeyser TD Architecture des ordinateurs Jean-Luc Dekeyser Fiche 1 Nombres de l informatique Exercice 1 Une entreprise désire réaliser la sauvegarde de ses données sur un site distant. Le volume de données à sauvegarder

Plus en détail

REALISATION d'un. ORDONNANCEUR à ECHEANCES

REALISATION d'un. ORDONNANCEUR à ECHEANCES REALISATION d'un ORDONNANCEUR à ECHEANCES I- PRÉSENTATION... 3 II. DESCRIPTION DU NOYAU ORIGINEL... 4 II.1- ARCHITECTURE... 4 II.2 - SERVICES... 4 III. IMPLÉMENTATION DE L'ORDONNANCEUR À ÉCHÉANCES... 6

Plus en détail

Réplication des données

Réplication des données Réplication des données Christelle Pierkot FMIN 306 : Gestion de données distribuées Année 2009-2010 Echange d information distribuée Grâce à un serveur central Une seule copie cohérente Accès à distance

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot Année universitaire 2014-2015 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 Codage des nombres en base 2, logique

Plus en détail

Guide Mémoire NETRAM

Guide Mémoire NETRAM Guide Mémoire NETRAM Types de mémoires vives On distingue généralement deux grandes catégories de mémoires vives : Mémoires dynamiques (DRAM, Dynamic Random Access Module), peu coûteuses. Elles sont principalement

Plus en détail

1. PRESENTATION DU PROJET

1. PRESENTATION DU PROJET Bac STI2D Formation des enseignants Jean-François LIEBAUT Denis PENARD SIN 63 : Prototypage d un traitement de l information analogique et numérique (PSoC) 1. PRESENTATION DU PROJET Les systèmes d éclairage

Plus en détail

J AUVRAY Systèmes Electroniques TRANSMISSION DES SIGNAUX NUMERIQUES : SIGNAUX EN BANDE DE BASE

J AUVRAY Systèmes Electroniques TRANSMISSION DES SIGNAUX NUMERIQUES : SIGNAUX EN BANDE DE BASE RANSMISSION DES SIGNAUX NUMERIQUES : SIGNAUX EN BANDE DE BASE Un message numérique est une suite de nombres que l on considérera dans un premier temps comme indépendants.ils sont codés le plus souvent

Plus en détail

CHAPITRE VI ALEAS. 6.1.Généralités.

CHAPITRE VI ALEAS. 6.1.Généralités. CHAPITRE VI ALEAS 6.1.Généralités. Lors de la synthèse des systèmes logique (combinatoires ou séquentiels), nous avons supposé, implicitement, qu une même variable secondaire avait toujours la même valeur

Plus en détail

SYSTEME DE TELESURVEILLANCE VIDEO

SYSTEME DE TELESURVEILLANCE VIDEO SYSTEME DE TELESURVEILLANCE VIDEO Avril - Juin 98 Résumé des auteurs : Auteurs : Bertrand LASSERRE Responsables : P. KADIONIK Y. BERTHOUMIEU Nombre de pages : 68 Nombre de pages «Annexe» : 0 Remerciements

Plus en détail

Transmissions série et parallèle

Transmissions série et parallèle 1. Introduction : Un signal numérique transmet généralement plusieurs digits binaires. Exemple : 01000001 ( huit bits). Dans une transmission numérique on peut envisager deux modes : les envoyer tous en

Plus en détail

Eléments de spécification des systèmes temps réel Pierre-Yves Duval (cppm)

Eléments de spécification des systèmes temps réel Pierre-Yves Duval (cppm) Eléments de spécification des systèmes temps réel Pierre-Yves Duval (cppm) Ecole d informatique temps réel - La Londes les Maures 7-11 Octobre 2002 - Evénements et architectures - Spécifications de performances

Plus en détail

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview.

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. Sciences et Technologies de l Industrie et du Développement Durable Formation des enseignants parcours : ET24 Modèle de

Plus en détail

Livret - 1. Informatique : le matériel. --- Ordinateur, circuits, codage, système, réseau. Cours informatique programmation.

Livret - 1. Informatique : le matériel. --- Ordinateur, circuits, codage, système, réseau. Cours informatique programmation. Livret - 1 Informatique : le matériel --- Ordinateur, circuits, codage, système, réseau. RM di scala Cours informatique programmation Rm di Scala - http://www.discala.net SOMMAIRE Introduction 2 Notations

Plus en détail

Ordinateurs, Structure et Applications

Ordinateurs, Structure et Applications Ordinateurs, Structure et Applications Cours 10, Les interruptions Etienne Tremblay Université Laval, Hiver 2012 Cours 10, p.1 Les interruptions du 8086 Une interruption interrompt l exécution séquentielle

Plus en détail

Série D65/D75/D72 Afficheurs digitaux modulaires

Série D65/D75/D72 Afficheurs digitaux modulaires Série D65/D75/D72 Afficheurs digitaux modulaires Afficheurs digitaux modulaires Afficheurs digitaux individuels La série D65/D75/D72 représente une vaste gamme de modules d affichage numériques, hexadécimaux

Plus en détail

SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION. Contenu du dossier :

SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION. Contenu du dossier : SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION Contenu du dossier : 1. PRESENTATION DU SYSTEME DE PALPAGE A TRANSMISSION RADIO....1 1.1. DESCRIPTION DU FABRICANT....1

Plus en détail

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007 Introduction à l architecture des ordinateurs Adrien Lebre Décembre 2007 Plan - partie 1 Vue d ensemble La carte mère Le processeur La mémoire principal Notion de bus Introduction à l architecture des

Plus en détail

Modules d automatismes simples

Modules d automatismes simples Modules d automatismes simples Solutions pour automatiser Modules d'automatismes Enfin, vraiment simple! Un concentré de solution Pour vos petites applications d'automatismes millenium gère : Temporisations

Plus en détail

Notice d'utilisation Afficheur multifonctions et système d'évaluation FX 360. Mode/Enter

Notice d'utilisation Afficheur multifonctions et système d'évaluation FX 360. Mode/Enter Notice d'utilisation Afficheur multifonctions et système d'évaluation FR FX 360 7390275 / 08 07 / 2009 Mode/Enter Set Consignes de sécurité Cette notice fait partie de l'appareil. Elle fournit des textes

Plus en détail

Auto formation à Zelio logic

Auto formation à Zelio logic Auto formation à Zelio logic 1 Les Produits Félicitations, vous avez choisi l'un des produits Zelio 2 suivants : 2 Environnement Le Zelio Logic est programmable à l'aide du logiciel Zelio Soft ou en Saisie

Plus en détail

KL5121. Pour activer des sorties en fonction de la position d'un codeur

KL5121. Pour activer des sorties en fonction de la position d'un codeur KL5121 Pour activer des sorties en fonction de la position d'un codeur VERSION : 1.0 / PH DATE : 07 Février 2006 Sommaire Ce manuel explique de manière pratique les étapes successives pour mettre en œuvre

Plus en détail

3. SPÉCIFICATIONS DU LOGICIEL. de l'expression des besoins à la conception. Spécifications fonctionnelles Analyse fonctionnelle et méthodes

3. SPÉCIFICATIONS DU LOGICIEL. de l'expression des besoins à la conception. Spécifications fonctionnelles Analyse fonctionnelle et méthodes PLAN CYCLE DE VIE D'UN LOGICIEL EXPRESSION DES BESOINS SPÉCIFICATIONS DU LOGICIEL CONCEPTION DU LOGICIEL LA PROGRAMMATION TESTS ET MISE AU POINT DOCUMENTATION CONCLUSION C.Crochepeyre Génie Logiciel Diapason

Plus en détail

Spécifications Techniques d Interface

Spécifications Techniques d Interface 67, Édition 2 / Octobre 2000 Spécifications Techniques d Interface SRXUOHUpVHDXGH)UDQFH7pOpFRP 'LUHFWLYH&( &DUDFWpULVWLTXHVGHVLQWHUIDFHVG DFFqV DXVHUYLFH7UDQVIL[0pWURSROLWDLQ 5pVXPp Ce document présente

Plus en détail

Caractéristiques techniques

Caractéristiques techniques Fiche technique LR4A-MOD Servomoteur Modbus pour vannes à boisseau sphérique étanche, et 6 voies Couple 5 Nm Alimentation électrique 4V AC/DC Communication par Modbus RU (RS-485) Conversion entrée sonde

Plus en détail

Architecture des ordinateurs

Architecture des ordinateurs Architecture des ordinateurs Cours 4 5 novembre 2012 Archi 1/22 Micro-architecture Archi 2/22 Intro Comment assembler les différents circuits vus dans les cours précédents pour fabriquer un processeur?

Plus en détail

Patentamt JEuropaisches. European Patent Office Numéro de publication: 0 1 1 0 7 6 7 Office européen des brevets DEMANDE DE BREVET EUROPEEN

Patentamt JEuropaisches. European Patent Office Numéro de publication: 0 1 1 0 7 6 7 Office européen des brevets DEMANDE DE BREVET EUROPEEN Patentamt JEuropaisches European Patent Office Numéro de publication: 0 1 1 0 7 6 7 Office européen des brevets ^ DEMANDE DE BREVET EUROPEEN Numéro de dépôt: 83402232.9 @ Int. Cl.3: G 06 F 7/52 Date de

Plus en détail

Concevoir son microprocesseur

Concevoir son microprocesseur Concevoir son microprocesseur structure des systèmes logiques Jean-Christophe Buisson Collection Technosup Ellipses Avant-propos Ce livre s adresse aux étudiants en informatique de licence et maîtrise,

Plus en détail

Université de La Rochelle. Réseaux TD n 6

Université de La Rochelle. Réseaux TD n 6 Réseaux TD n 6 Rappels : Théorème de Nyquist (ligne non bruitée) : Dmax = 2H log 2 V Théorème de Shannon (ligne bruitée) : C = H log 2 (1+ S/B) Relation entre débit binaire et rapidité de modulation :

Plus en détail

VX442. Panneau de Contrôle pour Windows 98 SE, ME, 2000 et XP et Mac OS 9 & 10

VX442. Panneau de Contrôle pour Windows 98 SE, ME, 2000 et XP et Mac OS 9 & 10 VX442 Panneau de Contrôle pour Windows 98 SE, ME, 2000 et XP et Mac OS 9 & 10 Copyright 2002 -- 2008 Digigram. Tous droits réservés. Aucune partie de ce manuel ne peut être reproduite sans l accord préalable

Plus en détail

Liste des Paramètres 2FC4...-1ST 2FC4...-1PB 2FC4...-1PN 2FC4...-1SC 2FC4...-1CB

Liste des Paramètres 2FC4...-1ST 2FC4...-1PB 2FC4...-1PN 2FC4...-1SC 2FC4...-1CB Édi 07.2014 610.00260.50.650 Instrucs service d'origine Français Liste s Paramètres 2FC4...-1ST 2FC4...-1PB 2FC4...-1PN 2FC4...-1SC 2FC4...-1CB 1Liste s 1 Liste s Descrip s s 1.020 Fréquence minimale 1.021

Plus en détail

Eléments constitutifs et synthèse des convertisseurs statiques. Convertisseur statique CVS. K à séquences convenables. Source d'entrée S1

Eléments constitutifs et synthèse des convertisseurs statiques. Convertisseur statique CVS. K à séquences convenables. Source d'entrée S1 1 Introduction Un convertisseur statique est un montage utilisant des interrupteurs à semiconducteurs permettant par une commande convenable de ces derniers de régler un transfert d énergie entre une source

Plus en détail

Matériel & Logiciels (Hardware & Software)

Matériel & Logiciels (Hardware & Software) CHAPITRE 2 HARDWARE & SOFTWARE P. 1 Chapitre 2 Matériel & Logiciels (Hardware & Software) 2.1 Matériel (Hardware) 2.1.1 Présentation de l'ordinateur Un ordinateur est un ensemble de circuits électronique

Plus en détail

Mentions légales (non traduites)... 3. 1. Introduction... 4. 2. Légendes... 4. 3. Schémas de raccordement... 5. 4. Configuration de la S16...

Mentions légales (non traduites)... 3. 1. Introduction... 4. 2. Légendes... 4. 3. Schémas de raccordement... 5. 4. Configuration de la S16... 1 2 Table des matières Consignes de sécurité importantes (non traduites)... 3 Mentions légales (non traduites)... 3 Garantie limitée (non traduite)... 3 1. Introduction... 4 2. Légendes... 4 3. Schémas

Plus en détail

Master d'informatique 1ère année Réseaux et protocoles. Couche physique

Master d'informatique 1ère année Réseaux et protocoles. Couche physique Master d'informatique 1ère année Réseaux et protocoles Couche physique Bureau S3-354 Mailto:Jean.Saquet@unicaen.fr http://saquet.users.greyc.fr/m1/rezopro Supports de communication Quelques exemples :

Plus en détail

Equipement. électronique

Equipement. électronique MASTER ISIC Les générateurs de fonctions 1 1. Avant-propos C est avec l oscilloscope, le multimètre et l alimentation stabilisée, l appareil le plus répandu en laboratoire. BUT: Fournir des signau électriques

Plus en détail

MPI Activité.10 : Logique binaire Portes logiques

MPI Activité.10 : Logique binaire Portes logiques MPI Activité.10 : Logique binaire Portes logiques I. Introduction De nombreux domaines font appel aux circuits logiques de commutation : non seulement l'informatique, mais aussi les technologies de l'asservissement

Plus en détail

La programmation des PIC en C. Les fonctions, les interruptions.

La programmation des PIC en C. Les fonctions, les interruptions. La programmation des PIC en C Les fonctions, les interruptions. Réalisation : HOLLARD Hervé. http://electronique-facile.com Date : 26 août 2004 Révision : 1.2 Sommaire Sommaire... 2 Introduction... 3 Structure

Plus en détail

Les diagrammes de modélisation

Les diagrammes de modélisation L approche Orientée Objet et UML 1 Plan du cours Introduction au Génie Logiciel L approche Orientée Objet et Notation UML Les diagrammes de modélisation Relations entre les différents diagrammes De l analyse

Plus en détail

CONFIGURATION DE L AUTOMATE SIEMENS

CONFIGURATION DE L AUTOMATE SIEMENS CONFIGURATION DE L AUTOMATE SIEMENS Créer un projet Dans le bureau de Windows, double-cliquer sur l icône «SIMATIC Manager» : Cliquer ensuite sur l icône «nouveau» : Choisir un nom de projet et valider

Plus en détail

Carte Relais GSM (Manuel Utilisateur)

Carte Relais GSM (Manuel Utilisateur) Carte Relais GSM (Manuel Utilisateur) Carte Relais GSM Introduction Cette carte est une véritable centrale de télécommande et d alarme par GSM. Elle se connecte par un port série à un modem GSM compatible

Plus en détail

Organisation des Ordinateurs

Organisation des Ordinateurs Organisation des Ordinateurs Bernard Boigelot E-mail : boigelot@montefiore.ulg.ac.be URL : http://www.montefiore.ulg.ac.be/~boigelot/ http://www.montefiore.ulg.ac.be/~boigelot/cours/org/ 1 Chapitre 1 Les

Plus en détail

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE QCM Remarque : - A une question correspond au moins 1 réponse juste - Cocher la ou les bonnes réponses Barème : - Une bonne réponse = +1 - Pas de réponse = 0

Plus en détail

SugarCubes. Jean-Ferdinand Susini Maître de Conférences, CNAM Chaire systèmes enfouis et embarqués. Paris, le 9 janvier, 2009

SugarCubes. Jean-Ferdinand Susini Maître de Conférences, CNAM Chaire systèmes enfouis et embarqués. Paris, le 9 janvier, 2009 SugarCubes Jean-Ferdinand Susini Maître de Conférences, CNAM Chaire systèmes enfouis et embarqués Paris, le 9 janvier, 2009 Plan 2 Les SugarCubes au dessus de J2ME Quelques résultats expérimentaux Les

Plus en détail

La norme Midi et JavaSound

La norme Midi et JavaSound La norme Midi et JavaSound V 1.0-14.2.2006 (update Fev. 07) Jacques Ferber LIRMM - Université Montpellier II 161 rue Ada 34292 Montpellier Cedex 5 Email: ferber@lirmm.fr Home page: www.lirmm.fr/~ferber

Plus en détail

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE ARDUINO DOSSIER RESSOURCE POUR LA CLASSE Sommaire 1. Présentation 2. Exemple d apprentissage 3. Lexique de termes anglais 4. Reconnaître les composants 5. Rendre Arduino autonome 6. Les signaux d entrée

Plus en détail

I- Définitions des signaux.

I- Définitions des signaux. 101011011100 010110101010 101110101101 100101010101 Du compact-disc, au DVD, en passant par l appareil photo numérique, le scanner, et télévision numérique, le numérique a fait une entrée progressive mais

Plus en détail

Cours de Génie Logiciel

Cours de Génie Logiciel Cours de Génie Logiciel Sciences-U Lyon Diagrammes UML (2) http://www.rzo.free.fr Pierre PARREND 1 Avril 2005 Sommaire Les Diagrammes UML Diagrammes de Collaboration Diagrammes d'etats-transitions Diagrammes

Plus en détail

TP Modulation Démodulation BPSK

TP Modulation Démodulation BPSK I- INTRODUCTION : TP Modulation Démodulation BPSK La modulation BPSK est une modulation de phase (Phase Shift Keying = saut discret de phase) par signal numérique binaire (Binary). La phase d une porteuse

Plus en détail

Capacité étendue d utilisation en réseau

Capacité étendue d utilisation en réseau Fiche technique n VIBOFF_1A_f Emonitor Odyssey introduit une nouvelle conception de la maintenance conditionnelle (prédictive) en automatisant les opérations de routine afin d améliorer les vitesses de

Plus en détail

Atelier C TIA Portal CTIA06 : programmation des automates S7-300 Blocs d organisation

Atelier C TIA Portal CTIA06 : programmation des automates S7-300 Blocs d organisation Atelier C TIA Portal CTIA06 : programmation des automates S7-300 Blocs d organisation CTIA06 Page 1 1. Types de blocs de programme L automate met à disposition différents types de blocs qui contiennent

Plus en détail

Ordinateurs, Structure et Applications

Ordinateurs, Structure et Applications Ordinateurs, Structure et Applications Cours 19, Le USB Etienne Tremblay Université Laval, Hiver 2012 Cours 19, p.1 USB signifie Universal Serial Bus USB Le USB a été conçu afin de remplacer le port série

Plus en détail

Conception et réalisation d'une pédale MIDI

Conception et réalisation d'une pédale MIDI Conception et réalisation d'une pédale MIDI Origine et historique du projet: Plusieurs dizaines de lycéens de Porto-Vecchio adhèrent au club musique du foyer socio-éducatif et se retrouvent à l'heure du

Plus en détail

Haute-disponibilité et bases de données

Haute-disponibilité et bases de données PostgreSQLFr.org, le portail francophone des services autour de PostgreSQL Haute-disponibilité et bases de données Association PostgreSQLFr http://www.postgresqlfr.org Dr. Stéphane SCHILDKNECHT Président

Plus en détail

Les techniques de multiplexage

Les techniques de multiplexage Les techniques de multiplexage 1 Le multiplexage et démultiplexage En effet, à partir du moment où plusieurs utilisateurs se partagent un seul support de transmission, il est nécessaire de définir le principe

Plus en détail

SIN-FPGA DESCRIPTION PAR SCHEMA

SIN-FPGA DESCRIPTION PAR SCHEMA SIN-FPGA DESCRIPTION PAR SCHEMA Documents ressources: http://www.altera.com/literature/lit-index.html Introduction to Quartus II : intro_to_quartus2.pdf Documentation QUARTUS II : quartusii_handbook.pdf

Plus en détail

SYSTEMES DE TRANSFERT STATIQUE: CEI 62310, UNE NOUVELLE NORME POUR GARANTIR LES PERFORMANCES ET LA SÉCURITÉ

SYSTEMES DE TRANSFERT STATIQUE: CEI 62310, UNE NOUVELLE NORME POUR GARANTIR LES PERFORMANCES ET LA SÉCURITÉ White Paper 10 2010 SYSTEMES DE TRANSFERT STATIQUE: CEI 62310, UNE NOUVELLE NORME POUR GARANTIR LES PERFORMANCES ET LA SÉCURITÉ MATTEO GRANZIERO, Responsabile comunicazione tecnica, SOCOMEC UPS Avant l

Plus en détail

AMBUS IS Collecteur d impulsions M-Bus

AMBUS IS Collecteur d impulsions M-Bus AMBUS IS Collecteur d impulsions M-Bus Application Collecteur d impulsions pour la connexion d un compteur à émetteur d impulsions à des systèmes M-Bus ou pour la transmission de signaux à des systèmes

Plus en détail

Comprendre «le travail collaboratif»

Comprendre «le travail collaboratif» Comprendre «le travail collaboratif» Samuel Genevieve-Anastasie 08/06/2010 comité utilisateur 1 Plan présentation Qu est-ce que le travail collaboratif? Objectifs du travail collaboratif Le travail collaboratif

Plus en détail

NOTICE D INSTALLATION CENTRALE 260

NOTICE D INSTALLATION CENTRALE 260 NOTICE D INSTALLATION CENTRALE 260 1 - PRÉSENTATION 3 - INSTALLATION La Centrale 2600 est une centrale d'alarme anti intrusion à micro processeur. Elle dispose de 8 entrées intrusion (1 tempo + 6 paramétrables,

Plus en détail

Le Millenium 3 pour les nuls!! Phase 2 : Les blocs fonction

Le Millenium 3 pour les nuls!! Phase 2 : Les blocs fonction Le Millenium 3 pour les nuls!! Phase 2 : Les blocs fonction Document rédigé par Pascal Bigot pour le site et le forum APPER Introduction : Le premier tutoriel vous a normalement permis de prendre en main

Plus en détail

- Instrumentation numérique -

- Instrumentation numérique - - Instrumentation numérique - I.Présentation du signal numérique. I.1. Définition des différents types de signaux. Signal analogique: Un signal analogique a son amplitude qui varie de façon continue au

Plus en détail

MODELES DE DUREE DE VIE

MODELES DE DUREE DE VIE MODELES DE DUREE DE VIE Cours 1 : Introduction I- Contexte et définitions II- Les données III- Caractéristiques d intérêt IV- Evènements non renouvelables/renouvelables (unique/répété) I- Contexte et définitions

Plus en détail

Routage Statique. Protocoles de Routage et Concepts. Version 4.0. 2007 Cisco Systems, Inc. All rights reserved. Cisco Public 1

Routage Statique. Protocoles de Routage et Concepts. Version 4.0. 2007 Cisco Systems, Inc. All rights reserved. Cisco Public 1 Routage Statique Protocoles de Routage et Concepts Version 4.0 1 Objectifs Définir le rôle général d'un routeur dans les réseaux. Décrire les réseaux directement connectés et les différentes interfaces

Plus en détail

Atelier C TIA Portal CTIA04 : Programmation des automates S7-300 Opérations numériques

Atelier C TIA Portal CTIA04 : Programmation des automates S7-300 Opérations numériques Atelier C TIA Portal CTIA04 : Programmation des automates S7-300 Opérations numériques CTIA04 Page 1 1. Les types de données sous S7 300 Il existe plusieurs types de données utilisées pour la programmation

Plus en détail

CARPE. Documentation Informatique S E T R A. Version 2.00. Août 2013. CARPE (Documentation Informatique) 1

CARPE. Documentation Informatique S E T R A. Version 2.00. Août 2013. CARPE (Documentation Informatique) 1 CARPE (Documentation Informatique) 1 CARPE Version 2.00 Août 2013 Documentation Informatique S E T R A Programme CARPE - Manuel informatique de l'utilisateur CARPE (Documentation Informatique) 2 Table

Plus en détail

I. TRANSMISSION DE DONNEES

I. TRANSMISSION DE DONNEES TD I. TRANSMISSION DE DONNEES 1. QU'EST-CE QU'UN CANAL DE TRANSMISSION? 1.1 Rappels Une ligne de transmission est une liaison entre les deux machines. On désigne généralement par le terme émetteur la machine

Plus en détail

SUGARCRM MODULE RAPPORTS

SUGARCRM MODULE RAPPORTS SUGARCRM MODULE RAPPORTS Référence document : SYNOLIA_Support_SugarCRM_Module_Rapports_v1.0.docx Version document : 1.0 Date version : 2 octobre 2012 Etat du document : En cours de rédaction Emetteur/Rédacteur

Plus en détail

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS SOMMAIRE I. Le VHDL pour qui, pourquoi, quand, comment? A. Le VHDL!...... 1 B. Pourquoi un langage de description?...... 1 C. Les limites actuelles...... 2

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2011-2012 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques WIDEMACV1 LAAS-CNRS 2011 Présentation du simulateur VHDL sous environnement Cadence Présentation

Plus en détail

T500 DUAlTACH. JAQUET T500 DualTach Instrument de mesure et de surveillance équipé de 2 entrées fréquence TACHYMETRE 2 CANAUX

T500 DUAlTACH. JAQUET T500 DualTach Instrument de mesure et de surveillance équipé de 2 entrées fréquence TACHYMETRE 2 CANAUX 02-09 T500 DUAlTACH JAQUET T500 DualTach Instrument de mesure et de surveillance équipé de 2 entrées fréquence JAQUET T500 DualTach Instrument multi canal de mesure et de surveillance pour applications

Plus en détail

CPU 317T-2 DP : commande d'un axe. virtuel SIMATIC. Système d'automatisation S7-300 CPU 317T-2 DP : commande d'un axe virtuel.

CPU 317T-2 DP : commande d'un axe. virtuel SIMATIC. Système d'automatisation S7-300 CPU 317T-2 DP : commande d'un axe virtuel. CPU 317T-2 DP : commande d'un axe virtuel SIMATIC Système d'automatisation S7-300 CPU 317T-2 DP : commande d'un axe virtuel Introduction 1 Préparation 2 Unités didactiques 3 Informations supplémentaires

Plus en détail

Bien commencer avec un LaunchPad MSP430G et un Breadboard

Bien commencer avec un LaunchPad MSP430G et un Breadboard Bien commencer avec un LaunchPad MSP430G et un Breadboard Pierre-Yves Rochat Le LaunchPad et Energia Texas Instrument propose un environnement de développement très complet pour ses MSP430, appelé Code

Plus en détail

NPIH800 GENERATION & RESEAUX. PROTECTION de COURANT TERRE

NPIH800 GENERATION & RESEAUX. PROTECTION de COURANT TERRE GENERATION & RESEAUX PROTECTION de COURANT TERRE NPIH800 assure la protection à maximum de courant terre des réseaux électriques de moyenne et haute tension. Ce relais multifonction surveille les défauts

Plus en détail

RÉALISATION ET MISE AU POINT D UN SYSTÈME DE TÉLÉSURVEILLANCE VIDÉO

RÉALISATION ET MISE AU POINT D UN SYSTÈME DE TÉLÉSURVEILLANCE VIDÉO E.N.S.E.R.B. Romain COMBELAS Frédéric BONNIN 3ème année. Option TIC MÉMOIRE DE FIN D ÉTUDES RÉALISATION ET MISE AU POINT D UN SYSTÈME DE TÉLÉSURVEILLANCE VIDÉO Encadré par : M. Patrice KADIONIK M. Yannick

Plus en détail