Module EN219. Conception ASIC Numérique. Année / Camille LEROUX

Dimension: px
Commencer à balayer dès la page:

Download "Module EN219. Conception ASIC Numérique. Année / Camille LEROUX"

Transcription

1 Module EN219 Conception ASIC Numérique Année / Camille LEROUX 1

2 Objectifs du module Comprendre les problématiques liées à l intégration de circuits numériques en technologie Cellules Standards (surface, temps de propagation, interconnexion, conso de puissance, ) Comprendre le flot de conception ASIC numérique en cellules standards Savoir synthétiser un circuit simple à partir d un fichier VHDL Savoir analyser les résultats de synthèse (timing, power, area) Savoir simuler le circuit après synthèse 2

3 Plan du module EN219 Classification des CIN Temps de propagation Consommation de puissance et d énergie Flot de conception 3

4 Comment concevoir un circuit numérique? TOUS les circuits numériques sont un assemblage plus ou moins complexe de portes logiques élémentaires et de bascules D (et de mémoire). + = Comment faire des portes logiques et des bascules à partir de transistors??? Voir Cours En113!! 4

5 Options technologiques Circuits dédiées CIN Circuits configurables Custom Semi-Custom Circuits (re)configurables Circuits sur mesure Circuits précaractérisés Circuits prédiffusés Full Custom Standard cell Gate array Sea of gates FPGA CPLD PLA 5

6 Full custom ASICs Utilisé lorsque les contraintes de conception sont très fortes: performances, vitesse, consommation de puissance, coût Le circuit est partitionné en sous-circuits optimisés pour l application. Chaque transistor est dessiné à la main. Typiquement utilisé pour les circuits analogiques ou bien une portion analogique d un système plus large. Egalement utilisé pour le layout des microprocesseurs. Conception très coûteuse, inaproprié pour les très gros circuits, à moins que la performance soit le premier critère de conception. Custom Semi- Custom Circuits sur mesure Circuits précaractérisé s Circuits prédiffusés Full Custom Standard cell Gate array Sea of gates 6

7 ASIC - Cellules standards Utilisation de cellules logiques pré-caractérisées (portes ET, OU, MUX, FF, etc) appelées cellules standards Plus simple qu un design full-custom Les cellules standards sont uniquement interconnectées, placées et routées. Elles sont utilisables comme des boîtes noires. En fonction des librairies, possibilité de disposer de macro-fonctions optimisées : multiplieurs, cœurs de processeurs, ALU, etc Tous les masques (transistors et interconnexions) sont spécifiques au design (customized masks) Des blocs optimisés peuvent être inclus dans le circuit La durée de fabrication est de l ordre de 2 mois. Custom Semi- Custom Circuits sur mesure Circuits précaractérisé s Circuits prédiffusés Full Custom Standard cell Gate array Sea of gates 7

8 ASIC - Cellules standards Une librairie de cellules standards contient la description fonctionnelle ainsi que les caractéristiques physiques de chaque cellule. Les caractéristiques principales sont: - Fondeur (ST, TSMC, IBM, ) - Longueur min d un transistor (90nm, 65nm, 45nm, ) - Type (general purpose, low power) - Tension de seuil (low VT, standard VT, High VT) - Tension d alim - Worst case (lower voltage/ high T - Best case (higher voltage / low T) 8

9 ASIC - Cellules standards Exemples de cellule 9

10 ASIC - Cellules standards Exemples de cellule 10

11 ASIC - Cellules standards Exemples de cellule 11

12 ASIC prédiffusé: gate array Les transistors sont prédiffusés sur le silicium sous forme de matrice La matrice ainsi définie est appelée matrice de base (base array) Le plus petit élément constitutif de la matrice de base est appelé cellule de base (ou cellule primitive) Seules les interconnexions entre cellules de base sont modifiables: Masked Gate Array (MGA) Le concepteur utilise une librairie de cellules logiques Après validation, le placement et le routage automatique les cellules logiques sont converties en cellules primitives afin de générer les masques d interconnexions A B Out A B NMOS GND Vdd PMOS NMOS GND Vdd PMOS 12

13 ASIC prédiffusés: gate array les sous-types GA avec canal GA sans canal (sea of gates) GA structuré Custom Semi- Custom Circuits sur mesure Circuits précaractérisé s Circuits prédiffusés Full Custom Standard cell Gate array Sea of gates 13

14 Les circuits reconfigurables : FPGAs 14

15 Les circuits reconfigurables : FPGAs 15

16 Comparaison des types d ASICs Full-Custom Cellules standards Type Gate Array FPGA Taille cellules Variable Hauteur fixe Fixe Fixe Type de cellule Variable Variable Fixe Configurable Placement des cellules Différences structurelles Variable En lignes Fixe Fixe Interconnexions Variable Variable Variable Configurable 16

17 Comparaison des types d ASICs Surface, vitesse, couches de fab Full-Custom Cellules standards Surface Compacte Compacte à modérée Vitesse Forte Forte à modérée Couches de fabrication après conception Type Gate Array Modérée Modérée Toutes Toutes Couches de routage FPGA Importante Faible Aucune 17

18 Comparaison des types d ASICs NRE(Non-recurring engineering cost) Coût unitaire (volume faible) Coût unitaire (volume important) Cout monétaire et temporel Full-Custom Cellules standards Type Gate Array FPGA Très élevé Elevé Elevé Faible Très élevé Elevé Elevé Faible Faible Faible Faible Très élevé Temps de conception Long Court Court Court Temps de fabrication Long Long Long Court 18

19 Quelques fondeurs d ASICs Manufacturiers STMicroelectronics IBM AMS TSMC Toshiba Texas Instruments Samsung Manufacturiers «fabless» Broadband corporation easic Nvidia Qualcomm PMC Sierra 19

20 Plan du module EN219 Classification des CIN Temps de propagation Consommation de puissance et d énergie Flot de conception 20

21 Structure classique d un circuit numérique synchrone Tous les circuits numériques ont besoin d une référence temporelle pour savoir quand échantillonner/envoyer une valeur numérique: Les systèmes synchrones utilisent une horloge Les systèmes asynchrones utilisent un mécanisme de Req-Ack 21

22 Circuit intégré numérique à grande échelle (VLSI) La plupart des systèmes intégrés complexes sont globalement asynchrones et localement synchrones Nous nous focalisons sur un domaine d horloge unique. 22

23 Structure classique d un circuit numérique synchrone Logique Combinatoire Logique Combinatoire D Flip-Flop Clock Le signal d horloge est propagé à toute les bascules du domaine pour qu elles soient synchronisées. Pour avoir un fonctionnement correct, chaque bascule doit «voir» un front montant au même instant. 23

24 Skew d horloge et jitter Skew d horloge: Variation systématique du front d horloge, d un endroit à un autre du circuit Causes: variation de fabrication du circuit, température, bruit d alim En général, les outils permettent de générer un arbre d horloge garantissant un skew d horloge max sur l ensemble du circuit Des circuits de deskewing existent pour compenser certaines composantes du skew. Un design de l arbre plus fin est possible mais est encore peu automatisé Jitter d horloge: Variation aléatoire du front d horloge d un cycle d horloge à un autre Cause principale: bruit d alim 24

25 Chemin critique La fréquence d horloge est limitée par le temps de propagation maximal entre deux bascules. Ce chemin combinatoire est appelé chemin critique Le chemin critique dépend: de la quantité de logique combinatoire mise en série de la longueur des interconnexions entre les bascules de la charge appliquée en sortie de chaque opérateur Clock Pour que le circuit fonctionne correctement il faut que T ck > t logic-max 25

26 Bascules D - Temps d acquisition et de maintien Rappel: D-FF: Q devient D après le front d horloge et garde cette valeur juqu au prochain front Temps d acquisition (set-up time): temps nécessaire à la bascule pour mémoriser l entrée D avant le front d horloge Temps de maintien (hold time) : Temps nécessaire à la bascule pour mémoriser l entrée D après le front d horloge Temps de retard à la sortie (delay on output): Délai nécessaire à la bascule pour répercuter le changement de l entrée vers la sortie. NB: il inclut le temps de maintien 26

27 Violation du temps d acquisition Violation du temps d acquisition quand la logique combinatoire est trop lente et ne permet pas de stabiliser l entrée de la bascule de droite avant l instant t_clock-t_setup Timing slack 27

28 Violation du temps de maintien Violation du temps maintien quand la logique combinatoire est trop rapide et ne permet pas de maintenir l entrée de la bascule suffisamment longtemps. Arrive typiquement lorsque un signal est copié d une bascule à une autre. L outil est alors obligé d insérer de la logique pour retarder les signaux. 28

29 Qu arrive t-il en cas de violation des temps? D change en dehors de l intervalle set-up/hold t_clock-q est correct D change pendant l intervalle set-up/hold t_clock-q est plus long que prévu ou bien incorrect Changement après le setup time : t_clock-q est plus long qu attendu Changement avant le hold time : t_clock-q est plus long qu attendu et potentiellement incorrect 29

30 Analyse temporelle dans les outils de synthèses - Pendant la synthèse - calcul des tp dans le pire cas - Détermination du chemin critique - Exploitation du parallélisme - Déplacement de la logique dans le cas d une violation du t_setup (retiming) - Certains outils font un préplacement pour estimer les temps de propagation le long des interconnexions - Après la synthèse - Analyse temporelle statique - Vérification des t_setup sur les chemins longs - Vérification des t_hold sur les chemins courts - Après placement et routage - Analyse temporelle tenant comptes des interconnexions 30

31 Comment atteindre les performances escomptées? Une fois le système décrit en HDL, le designer a relativement peu de contrôle sur les performances: - choix des librairies de composants (souvent limité à ce que l on a) - options compilations (nécessite une très bonne connaissance de l outil) - multi cycles (fastidieux) - insertion de buffer (couteux) Conclusion: tout se joue lors de la phase de conception! Si l architecture du système est mal conçue/pensée, votre circuit aura des performances limitées. LES OUTILS NE PEUVENT PAS FAIRE DE MIRACLES SUR UN MAUVAIS DESIGN! 31

32 Plan du module EN219 Classification des CIN Temps de propagation Consommation de puissance et d énergie Flot de conception 32

33 Pourquoi doit-on réduire la conso? Pour augmenter l autonomie des batteries pour les SE Pour limiter les besoins de refroidissement T_max sur un circuit : 90 degrés C Hautes températures réduisent vitesse et fiabilité du circuit Les boitiers plastiques sont 10 fois moins cher que les boitiers céramiques mais ils ne dissipent que quelques Watts Systèmes de refroidissements coûtent cher 33

34 Pourquoi doit-on réduire la conso? Pour limiter le coût de conception du circuit Lignes d alimentation prennent de la place sur le circuit Régulateurs de puissances et alimentations Pour limiter l impact écologique 1% de l énergie mondiale est consommée par l électronique (en augmentation) 20% de l énergie domestique est consommée par l électronique (en augmentation) 34

35 Densité de puissance 35

36 Conso de puissance dans les circuits CMOS - La conso est devenue la fonction de coût numéro 1, devant le nombre de portes!!! - Puissance statique (quand le circuit ne bascule pas) - Courant de fuite (I_DS, courant d oxide SiO2, ) - Puissance dynamique (lors d une transition logique) - Courant de court-circuit - Courant de charge de la sortie 36

37 Courant de charge/décharge - Dû aux charges et décharges successives des capacités de sorties. - Energie par transition = C L. Vdd 2 - Puissance = Energie/transition * f = C L. Vdd 2. f 37

38 Courant de court-circuit - Pendant la période de transition, les réseaux NMOS et PMOS sont passants en même temps. Un courant de court-circuit s établit donc entre V dd et la masse. 38

39 Courant de fuite (canal) - Même lorsqu un transistor est ouvert, un courant de fuite s établit aux niveaux des jonctions du transistors. 39

40 Courant de fuite (grille) % des courants de fuites viennent des courants de grille. - L oxyde de grille est tellement fin, que les électrons arrivent à travers l oxyde - NMOS sont plus sensibles à ce courant que les PMOS 40

41 Consommation de puissance - On peut négliger les effets des courants de court-circuit et de fuite par rapport aux courants de charge/décharge: - P dyn = α Vdd 2 C L f clk - α :Taux de commutation (switching activity) - V dd : tension d alim - C L : capacité totale du circuit - f clk : fréquence d horloge 41

42 Des pistes pour réduire la conso Tension d alim V dd Impact le plus fort sur la conso => à privilégier autant que possible Capacité totale C L ~proportionnelle à la surface du circuit => réduction de la complexité Réduire la taille des transistors autant que possible Activité α Très dépendant des données => jouer sur les formats Glitches ont un fort impact Fréquence d horloge f ck Réduire f ck réduit la conso de puissance moyenne but ne change pas la conso d énergie et le débit est dégradé => fausse bonne idée! 42

43 Solution architecturale: le parallélisme On suppose: t p =25ns à V DD =5V 43

44 Solution architecturale: le parallélisme C par =2.15C (routage supplémentaire nécessaire) f par =f/2 (t p,new =50ns=> V DD ~2.9V; V DD,par =0.58V DD P par =C par V DD2 f par =0.36P ref 44

45 Solution architecturale: le pipelining Cpipe=1.15C (registres supplémentaires+routage) Temps de propagation /2 (V DD,pipe =0.58V DD ) P pipe =0.39P 45

46 Plan du module EN219 Classification des CIN Temps de propagation Consommation de puissance et d énergie Flot de conception 46

47 Flot de conception ASIC Les premiers circuits intégrés numériques ont été conçu «à la main» Les circuits intégrés numériques actuels sont constitués de milliards de transistors Elévation du niveau d abstraction Automatisation du flot de conception 47

48 Les outils de conception (CAD tools) Quels types d outils? Editeurs Compilateurs RTL Simulateurs Librairies Synthétiseurs de modules Placement / Routage Générateurs de masques etc Quel domaine de compétence? Conception logique Conception de circuits électriques Physique du composant Graphique Conception système Optimisation combinatoire etc 48

49 Les phases de conception Cahier des charges Définition de l architecture Exploration architecturale Définition de la micro architecture Saisie du design (écriture VHDL, ) Vérification Implémentation Synthèse physique Fabrication de la puce Caractérisation et test de la puce Production Fabrication / production 49

50 Flot de conception ASIC VHDL / verilog GDSII??? Implémentation Frontend Indépendant de la technologie La plupart du temps, l effort de conception fournit peut être réutilisé pour d autres cibles technologiques (FPGA, structured ASIC, etc ) Implémentation Backend Implémentation dépendantes de la technologie L effort est spécifique à la technologie cible (optimisation ) 50

51 Flot de conception ASIC: Frontend Test Bench Synth. Lib. Constraints Gate netlist Timing lib. HDL ou schéma Vérification fonctionnelle Synthèse Analyse Timing statique Simulation Pre-layout Saisie HDL (Verilog / VHDL) Point de départ: description HDL du circuit Facile à modifier, partager Modularité Saisie Schéma Méthode traditionnelle Bonne vision globale du système Facile de suivre le chemin suivi par un signal WYSWYG Netlist - portes OK NON OUI Implémentation Backend 51

52 Flot de conception ASIC: Frontend Test Bench Synth. Lib. Constraints Gate netlist Timing lib. HDL ou schéma Vérification fonctionnelle Synthèse Analyse Timing statique Simulation Pre-layout Vérification fonctionnelle Simulation fonctionnelle du design Comparaison avec référence haut niveau (C, matlab, ) Pas de considérations de delais de propagation Utilisation d un test bench Verif. partielle possible Netlist - portes OK NON OUI Implémentation Backend 52

53 Flot de conception ASIC: Frontend Test Bench Synth. Lib. Constraints Gate netlist Timing lib. HDL ou schéma Vérification fonctionnelle Synthèse Analyse Timing statique Simulation Pre-layout Synthèse logique Conversion du RTL en netlist de portes logiques. Utilisation d une librairie de modèles synthétisables Contraintes de vitesse, de placement, Netlist - portes OK NON OUI Implémentation Backend 53

54 Flot de conception ASIC: Frontend Test Bench Synth. Lib. Constraints Gate netlist Timing lib. HDL ou schéma Vérification fonctionnelle Synthèse Analyse Timing statique Simulation Pre-layout Analyse temporelle statique Verification des contraintes temporelles Detection et correction des conditions de race Analyse independante des vecteurs de test Aide la synthèse à optimiser la logique Netlist - portes OK NON OUI Implémentation Backend 54

55 Flot de conception ASIC Frontend Test Bench Synth. Lib. Constraints Gate netlist Timing lib. HDL ou schéma Vérification fonctionnelle Synthèse Analyse Timing statique Simulation Pre-layout Simulation Pre-layout Verification du design à la fréquence d horloge spécifiée Les temps de propagation des portes sont utilisés Netlist - portes OK NON OUI Implémentation Backend 55

56 Flot de conception ASIC: Backend Synth. Lib Physical Lib. Timing Lib. Lib. Techno. Test Bench Floor Planning Synthèse physique Placement, Routage et DRC Post-Layout simulation Floor planning Les macro-blocks sont disposés sur le circuit en fonction de la complexité et connectivité Chaque block est caractérisé par les cellules qui le compose et par ses interconnexions Agencement intelligent des blocks sur le Silicium 56

57 Flot de conception ASIC: Backend Synth. Lib Physical Lib. Timing Lib. Lib. Techno. Test Bench Floor Planning Synthèse physique Placement, Routage et DRC Post-Layout simulation Synthèse physique Synthèse logique plus poussée Des contraintes physiques sont ajoutées et fournies à l outil de synthèse logique. Un routeur fournit des informations sur les délais entre les portes Les delais d interconnexion sont plus long que ceux des portes 57

58 Flot de conception ASIC: Backend Synth. Lib Physical Lib. Timing Lib. Lib. Techno. Test Bench Floor Planning Synthèse physique Placement, Routage et DRC Post-Layout simulation Placement et Routage Le placement décide la localisation exacte de chaque cellule dans les macro-block Poursuit l effort du Floor Planning Connecte physiquement les cellules entre elles Design Rule Check (DRC) Vérifie les règles de dessin dans le design placé et routé Detection d interférences, cross-talk, etc 58

59 Flot de conception ASIC: Backend Synth. Lib Physical Lib. Floor Planning Synthèse physique Simulation Post-Layout Vérifie que le design fonctionne toujours avec toute les interconnexions Timing Lib. Lib. Techno. Test Bench Placement, Routage et DRC Post-Layout simulation 59

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2008-2009 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques Pentium4 Présentation du simulateur VHDL sous environnement Cadence Présentation de l outil Synopsys

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2011-2012 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques WIDEMACV1 LAAS-CNRS 2011 Présentation du simulateur VHDL sous environnement Cadence Présentation

Plus en détail

ELP 304 : Électronique Numérique. Cours 1 Introduction

ELP 304 : Électronique Numérique. Cours 1 Introduction ELP 304 : Électronique Numérique Cours 1 Introduction Catherine Douillard Dépt Électronique Les systèmes numériques : généralités (I) En électronique numérique, le codage des informations utilise deux

Plus en détail

EMETTEUR ULB. Architectures & circuits. Ecole ULB GDRO ESISAR - Valence 23-27/10/2006. David MARCHALAND STMicroelectronics 26/10/2006

EMETTEUR ULB. Architectures & circuits. Ecole ULB GDRO ESISAR - Valence 23-27/10/2006. David MARCHALAND STMicroelectronics 26/10/2006 EMETTEUR ULB Architectures & circuits David MARCHALAND STMicroelectronics 26/10/2006 Ecole ULB GDRO ESISAR - Valence 23-27/10/2006 Introduction Emergence des applications de type LR-WPAN : Dispositif communicant

Plus en détail

VIII- Circuits séquentiels. Mémoires

VIII- Circuits séquentiels. Mémoires 1 VIII- Circuits séquentiels. Mémoires Maintenant le temps va intervenir. Nous avions déjà indiqué que la traversée d une porte ne se faisait pas instantanément et qu il fallait en tenir compte, notamment

Plus en détail

IFT1215 Introduction aux systèmes informatiques

IFT1215 Introduction aux systèmes informatiques Introduction aux circuits logiques de base IFT25 Architecture en couches Niveau 5 Niveau 4 Niveau 3 Niveau 2 Niveau Niveau Couche des langages d application Traduction (compilateur) Couche du langage d

Plus en détail

Contribution à la conception par la simulation en électronique de puissance : application à l onduleur basse tension

Contribution à la conception par la simulation en électronique de puissance : application à l onduleur basse tension Contribution à la conception par la simulation en électronique de puissance : application à l onduleur basse tension Cyril BUTTAY CEGELY VALEO 30 novembre 2004 Cyril BUTTAY Contribution à la conception

Plus en détail

SIN-FPGA DESCRIPTION PAR SCHEMA

SIN-FPGA DESCRIPTION PAR SCHEMA SIN-FPGA DESCRIPTION PAR SCHEMA Documents ressources: http://www.altera.com/literature/lit-index.html Introduction to Quartus II : intro_to_quartus2.pdf Documentation QUARTUS II : quartusii_handbook.pdf

Plus en détail

Modélisation physique des cellules logiques... Modèles pour le placement routage, le format "LEF"

Modélisation physique des cellules logiques... Modèles pour le placement routage, le format LEF Modélisation physique des cellules logiques... Modèles pour le placement routage, le format "LEF" Yves Mathieu Plan Introduction Technologie Macros Conclusion 2/21 FC Backend ASIC Yves Mathieu Library

Plus en détail

1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique...

1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique... 1 Démarrer... 3 1.1 L écran Isis...3 1.2 La boite à outils...3 1.2.1 Mode principal... 4 1.2.2 Mode gadget...4 1.2.3 Mode graphique... 4 2 Quelques actions... 5 2.1 Ouvrir un document existant...5 2.2

Plus en détail

QUESTION 1 {2 points}

QUESTION 1 {2 points} ELE4301 Systèmes logiques II Page 1 de 8 QUESTION 1 {2 points} En se servant de paramètres électriques donnés dans le Tableau 1 ci-dessous, on désire déterminer la fréquence d opération du compteur présenté

Plus en détail

Profil UML pour TLM: contribution à la formalisation et à l automatisation du flot de conception et vérification des systèmes-sur-puce.

Profil UML pour TLM: contribution à la formalisation et à l automatisation du flot de conception et vérification des systèmes-sur-puce. INSTITUT NATIONAL POLYTECHNIQUE DE GRENOBLE N attribué par la bibliothèque T H È S E pour obtenir le grade de DOCTEUR DE L INPG Spécialité : «Micro et Nano Électronique» préparée au laboratoire CEA LIST/DTSI/SOL/LISE

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot et Sébastien Viardot Année universitaire 2011-2012 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 C12 Codage des

Plus en détail

Conception Electronique (CEL) Prof. Maurizio Tognolini

Conception Electronique (CEL) Prof. Maurizio Tognolini Conception Electronique (CEL) Prof. Maurizio Tognolini iai institut d Automatisation industrielle MTI/CEL 19/09/2010 v2.0 1 CEL semestre «automne» 2010-2011 Enseignement: cours et labo: (Chaque semaine)

Plus en détail

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE ARDUINO DOSSIER RESSOURCE POUR LA CLASSE Sommaire 1. Présentation 2. Exemple d apprentissage 3. Lexique de termes anglais 4. Reconnaître les composants 5. Rendre Arduino autonome 6. Les signaux d entrée

Plus en détail

LA MESURE INDUSTRIELLE

LA MESURE INDUSTRIELLE E02 LA MESURE INDUSTRIELLE 20 Heures Technicien responsable de la maintenance Approfondir les techniques de mesure; Prendre en compte l aspect métrologie. Connaître les limites et les facteurs d influences

Plus en détail

SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION. Contenu du dossier :

SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION. Contenu du dossier : SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION Contenu du dossier : 1. PRESENTATION DU SYSTEME DE PALPAGE A TRANSMISSION RADIO....1 1.1. DESCRIPTION DU FABRICANT....1

Plus en détail

Animation pédagogique sur l oscilloscope à mémoire Hameg HM 507

Animation pédagogique sur l oscilloscope à mémoire Hameg HM 507 Animation pédagogique sur l oscilloscope à mémoire Hameg HM 507 Les réglages matériels généraux de l oscilloscope Hameg HM 507 Ce sont les réglages qui sont actifs aussi bien en mode Analogique (oscilloscope

Plus en détail

Chapitre 2 : Systèmes radio mobiles et concepts cellulaires

Chapitre 2 : Systèmes radio mobiles et concepts cellulaires Chapitre 2 : Systèmes radio mobiles et concepts cellulaires Systèmes cellulaires Réseaux cellulaires analogiques de 1ère génération : AMPS (USA), NMT(Scandinavie), TACS (RU)... Réseaux numériques de 2ème

Plus en détail

Université de La Rochelle. Réseaux TD n 6

Université de La Rochelle. Réseaux TD n 6 Réseaux TD n 6 Rappels : Théorème de Nyquist (ligne non bruitée) : Dmax = 2H log 2 V Théorème de Shannon (ligne bruitée) : C = H log 2 (1+ S/B) Relation entre débit binaire et rapidité de modulation :

Plus en détail

Chapitre 1 : Introduction aux méthodologies de conception et de vérification pour SE

Chapitre 1 : Introduction aux méthodologies de conception et de vérification pour SE Chapitre 1 : Introduction aux méthodologies de conception et de vérification pour SE 1. Rappel de ce qu est un SE 2. Conception au niveau système (ESL) Méthodologie de conception (codesign logiciel/matériel)

Plus en détail

Les liaisons SPI et I2C

Les liaisons SPI et I2C DAMÉCOURT BENJAMIN AVRIL 28 Liaisons synchrones Les liaisons SPI et I2C Face arrière d un imac : trois ports USB, un port Firewire 4 et un port Firewire 8 CHRONOLOGIE ANNÉES 7 La liaison SPI et la création

Plus en détail

Étude des Corrélations entre Paramètres Statiques et Dynamiques des Convertisseurs Analogique-Numérique en vue d optimiser leur Flot de Test

Étude des Corrélations entre Paramètres Statiques et Dynamiques des Convertisseurs Analogique-Numérique en vue d optimiser leur Flot de Test 11 juillet 2003 Étude des Corrélations entre Paramètres Statiques et Dynamiques des Convertisseurs Analogique-Numérique en vue d optimiser leur Flot de Test Mariane Comte Plan 2 Introduction et objectif

Plus en détail

Mini_guide_Isis.pdf le 23/09/2001 Page 1/14

Mini_guide_Isis.pdf le 23/09/2001 Page 1/14 1 Démarrer...2 1.1 L écran Isis...2 1.2 La boite à outils...2 1.2.1 Mode principal...3 1.2.2 Mode gadgets...3 1.2.3 Mode graphique...3 2 Quelques actions...4 2.1 Ouvrir un document existant...4 2.2 Sélectionner

Plus en détail

3. SPÉCIFICATIONS DU LOGICIEL. de l'expression des besoins à la conception. Spécifications fonctionnelles Analyse fonctionnelle et méthodes

3. SPÉCIFICATIONS DU LOGICIEL. de l'expression des besoins à la conception. Spécifications fonctionnelles Analyse fonctionnelle et méthodes PLAN CYCLE DE VIE D'UN LOGICIEL EXPRESSION DES BESOINS SPÉCIFICATIONS DU LOGICIEL CONCEPTION DU LOGICIEL LA PROGRAMMATION TESTS ET MISE AU POINT DOCUMENTATION CONCLUSION C.Crochepeyre Génie Logiciel Diapason

Plus en détail

Quoi de neuf en LabVIEW FPGA 2010?

Quoi de neuf en LabVIEW FPGA 2010? Quoi de neuf en LabVIEW FPGA 2010? Yannick DEGLA Ingénieur d Application Fonctionnalités de LabVIEW FPGA 2010 Nœud d intégration d IP - Importer directement des fichiers.xco de Xilinx ou vos propres VHDL

Plus en détail

PRESENTATION PRODUITS

PRESENTATION PRODUITS CONNAISSANCES FORMATION SÉCURITÉ PRESENTATION PRODUITS ÉVALUATION DES CONDITIONS COLLABORATION COMITÉS ANALYSE TECHNOLOGIE NORMALISATION La connaissance, instantanément Des solutions modernes, basées sur

Plus en détail

! analyse du fonctionnement

! analyse du fonctionnement Coloreau chaude MT V P1 V MT! Le composant repéré TH1 sur le schéma structurel et une thermistance. Son rôle est de détecter une grandeur physique la température, et de la convertir en une grandeur électrique

Plus en détail

Eléments de spécification des systèmes temps réel Pierre-Yves Duval (cppm)

Eléments de spécification des systèmes temps réel Pierre-Yves Duval (cppm) Eléments de spécification des systèmes temps réel Pierre-Yves Duval (cppm) Ecole d informatique temps réel - La Londes les Maures 7-11 Octobre 2002 - Evénements et architectures - Spécifications de performances

Plus en détail

Mini_guide_Isis_v6.doc le 10/02/2005 Page 1/15

Mini_guide_Isis_v6.doc le 10/02/2005 Page 1/15 1 Démarrer... 2 1.1 L écran Isis... 2 1.2 Les barres d outils... 3 1.2.1 Les outils d édition... 3 1.2.2 Les outils de sélection de mode... 4 1.2.3 Les outils d orientation... 4 2 Quelques actions... 5

Plus en détail

Présenté par : Sous la direction de :

Présenté par : Sous la direction de : ANNEE UNIVERSITAIRE 2006 2007 LAYOUT DE SWITCHS RF STAGE EFFECTUE A ST MICROELECTRONICS GRENOBLE Rapport de stage de licence professionnelle EISI option microélectronique microsystèmes Présenté par : Sous

Plus en détail

UNIVERSITE CATHOLIQUE DE LOUVAIN École Polytechnique de Louvain

UNIVERSITE CATHOLIQUE DE LOUVAIN École Polytechnique de Louvain UNIVERSITE CATHOLIQUE DE LOUVAIN École Polytechnique de Louvain Département d Électricité Synthèse de circuits logiques à ultra-basse consommation en technologie 65nm et régime sous-seuil Application à

Plus en détail

Conception et Intégration de Systèmes Critiques

Conception et Intégration de Systèmes Critiques Conception et Intégration de Systèmes Critiques 15 12 18 Non 50 et S initier aux méthodes le développement de projet (plan de développement, intégration, gestion de configuration, agilité) Criticité temporelle

Plus en détail

Le multiplexage. Sommaire

Le multiplexage. Sommaire Sommaire Table des matières 1- GENERALITES... 2 1-1 Introduction... 2 1-2 Multiplexage... 4 1-3 Transmission numérique... 5 2- LA NUMERATION HEXADECIMALE Base 16... 8 3- ARCHITECTURE ET PROTOCOLE DES RESEAUX...

Plus en détail

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview.

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. Sciences et Technologies de l Industrie et du Développement Durable Formation des enseignants parcours : ET24 Modèle de

Plus en détail

Notice ARES Version 5.20 Française

Notice ARES Version 5.20 Française ARES -1/19 Notice ARES Version 5.20 Française Carlos Valente Technicien IUT LIMOGES Département Génie Electrique et informatique Industrielle 19100 Brive la gaillarde France. Page - 1/19 ARES -2/19 Routage

Plus en détail

DOCUMENT PROTEGE PAR UN DROIT DE COPIE. CPLD ou FPGA Critères de choix. page 1

DOCUMENT PROTEGE PAR UN DROIT DE COPIE. CPLD ou FPGA Critères de choix. page 1 Une des caractéristiques du domaine des circuits programmables est d être résolument moderne, tirant parti des évolutions concernant les procédés technologiques, la propriété intellectuelle(ip), l Internet,

Plus en détail

MODULES ÉLECTRIQUES. - systèmes électriques DC - onduleurs - convertisseurs - interrupteurs statiques. Notre alimentation Votre confiance

MODULES ÉLECTRIQUES. - systèmes électriques DC - onduleurs - convertisseurs - interrupteurs statiques. Notre alimentation Votre confiance MODULES ÉLECTRIQUES - systèmes électriques DC - onduleurs - convertisseurs - interrupteurs statiques Notre alimentation Votre confiance Système Power 1UDC+ Jusqu à 38 redresseurs et un contrôleur, 1266A

Plus en détail

Synthèse des convertisseurs statiques DC/AC pour les systèmes photovoltaïques

Synthèse des convertisseurs statiques DC/AC pour les systèmes photovoltaïques Revue des Energies Renouvelables ICESD 11 Adrar (2011) 101 112 Synthèse des convertisseurs statiques DC/AC pour les systèmes photovoltaïques M. Meddah *, M. Bourahla et N. Bouchetata Faculté de Génie Electrique,

Plus en détail

ADÉQUATION ALGORITHME-ARCHITECTURE APPLIQUÉE AUX CIRCUITS RECONFIGURABLES

ADÉQUATION ALGORITHME-ARCHITECTURE APPLIQUÉE AUX CIRCUITS RECONFIGURABLES ADÉQUATION ALGORITHME-ARCHITECTURE APPLIQUÉE AUX CIRCUITS RECONFIGURABLES AILTON F. DIAS, MOHAMED AKIL, CHRISTOPHE LAVARENNE, YVES SOREL CNEN/CDTN Divisão de Computação e Informação, CP 941-012-970 Belo

Plus en détail

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd UE 503 L3 MIAGE Initiation Réseau et Programmation Web La couche physique A. Belaïd abelaid@loria.fr http://www.loria.fr/~abelaid/ Année Universitaire 2011/2012 2 Le Modèle OSI La couche physique ou le

Plus en détail

T101, serveur de temps haute précision

T101, serveur de temps haute précision T101, serveur de temps haute précision T101Compact Serveur de temps NTP/SNTP, horloge GPS de strate 1, avec PoE, et fonctions d entrées/sorties d échantillonnage et de synchronisation. T101Rack 1 Bd d

Plus en détail

Quoi de neuf en contrôle/commande et systèmes embarqués (RIO, WSN...)?

Quoi de neuf en contrôle/commande et systèmes embarqués (RIO, WSN...)? Quoi de neuf en contrôle/commande et systèmes embarqués (RIO, WSN...)? Mathieu PACE National Instruments, Ingénieur d applications L architecture RIO se développe Processeur FPGA E/S E/S E/S personnalisées

Plus en détail

Introduction à l informatique temps réel Pierre-Yves Duval (cppm)

Introduction à l informatique temps réel Pierre-Yves Duval (cppm) Introduction à l informatique temps réel Pierre-Yves Duval (cppm) Ecole d informatique temps réel - La Londes les Maures 7-11 Octobre 2002 -Définition et problématique - Illustration par des exemples -Automatisme:

Plus en détail

Transmissions série et parallèle

Transmissions série et parallèle 1. Introduction : Un signal numérique transmet généralement plusieurs digits binaires. Exemple : 01000001 ( huit bits). Dans une transmission numérique on peut envisager deux modes : les envoyer tous en

Plus en détail

LES MÉMOIRES FLASH : ENTRE MÉMOIRE VIVE ET MÉMOIRE DE STOCKAGE. Etienne Nowak 12 mars 2015. Etienne Nowak - 12 mars 2015 - GIS-SPADON

LES MÉMOIRES FLASH : ENTRE MÉMOIRE VIVE ET MÉMOIRE DE STOCKAGE. Etienne Nowak 12 mars 2015. Etienne Nowak - 12 mars 2015 - GIS-SPADON LES MÉMOIRES FLASH : ENTRE MÉMOIRE VIVE ET MÉMOIRE DE STOCKAGE Etienne Nowak 12 mars 2015 PRÉSENTATION ETIENNE NOWAK

Plus en détail

Logique séquentielle

Logique séquentielle Bascules et logique séquentielle aniel Etiemble de@lri.fr Logique séquentielle Logique séquentielle Le système a des «états» ans un système séquentiel Éléments de mémorisation Les sorties dépendent des

Plus en détail

Fiche technique CPU 314SC/DPM (314-6CG13)

Fiche technique CPU 314SC/DPM (314-6CG13) Fiche technique CPU 314SC/DPM (3146CG13) Données techniques N de commande 3146CG13 Type CPU 314SC/DPM Information générale Note Caractéristiques SPEEDBus Technologie SPEED7 24 x DI, 16 x DO, 8 x DIO, 4

Plus en détail

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3. SIMULATION 7 " - 4.

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & '  ( ) '*+ , ##) #  -. /0  1 2  3. SIMULATION 7  - 4. TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3! " #$ % & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3' & 3. SIMULATION 7 0 ( 0, - 0 - " - & 1 4. LA SOURIS 11 5. LES RACCOURCIS CLAVIER 11 STI Electronique

Plus en détail

TP N 57. Déploiement et renouvellement d une constellation de satellites

TP N 57. Déploiement et renouvellement d une constellation de satellites TP N 57 Déploiement et renouvellement d une constellation de satellites L objet de ce TP est d optimiser la stratégie de déploiement et de renouvellement d une constellation de satellites ainsi que les

Plus en détail

Chapitre 4 : Les mémoires

Chapitre 4 : Les mémoires 1. Introduction: Chapitre 4 : Les mémoires Nous savons que dans un ordinateur toutes les informations : valeur numérique, instruction, adresse, symbole (chiffre, lettre,... etc.) sont manipulées sous une

Plus en détail

11 Février 2014 Paris nidays.fr. france.ni.com

11 Février 2014 Paris nidays.fr. france.ni.com 11 Février 2014 Paris nidays.fr Construire l enregistreur de données autonome de demain Marc-Junior LARROUY, Ingénieur d Applications, National Instruments France Contenu Introduction à l enregistrement

Plus en détail

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE I/ GÉNÉRALITÉS I.1/ Fonction Un compteur binaire est utilisé : -pour compter un certain nombre d'évènements binaires -pour diviser la fréquence d'un signal logique par 2 m Page 1 FONCTION COMPTAGE BINAIRE

Plus en détail

SUR MODULE CAMÉRA C38A (OV7620)

SUR MODULE CAMÉRA C38A (OV7620) Applications maquette d'étude EP10K20 DÉMULTIPLEXEUR BT.656 SUR MODULE CAMÉRA C38A OV7620 SCHÉMAS ET DESCRIPTIONS AHDL 1. Schéma principal Le démultiplexeur proprement dit est la fonction "Decod_BT656_1".

Plus en détail

Equipement. électronique

Equipement. électronique MASTER ISIC Les générateurs de fonctions 1 1. Avant-propos C est avec l oscilloscope, le multimètre et l alimentation stabilisée, l appareil le plus répandu en laboratoire. BUT: Fournir des signau électriques

Plus en détail

MANUEL D INSTRUCTION

MANUEL D INSTRUCTION MANUEL D INSTRUCTION ---------- Régulateur de Charge Solaire pour deux batteries, Pour Caravanes, Camping-Cars & Bateaux Courant (12V or 12/24V automatique) NOTES: Utilisable seulement avec des panneaux

Plus en détail

Repérage de l artillerie par le son.

Repérage de l artillerie par le son. Repérage de l artillerie par le son. Le repérage par le son permet de situer avec précision une batterie ennemie, qu elle soit ou non bien dissimulée. Le son se propage avec une vitesse sensiblement constante,

Plus en détail

Moteur DC: Comment faire varier sa vitesse?

Moteur DC: Comment faire varier sa vitesse? Moteur DC: Comment faire varier sa vitesse? Zone d'utilisation Moteur à excitation shunt Influence I e Petite perturbation : e.g. augmentation vitesse À partir de P : couple moteur P'' < couple résistant

Plus en détail

Chapitre 7. Circuits Magnétiques et Inductance. 7.1 Introduction. 7.1.1 Production d un champ magnétique

Chapitre 7. Circuits Magnétiques et Inductance. 7.1 Introduction. 7.1.1 Production d un champ magnétique Chapitre 7 Circuits Magnétiques et Inductance 7.1 Introduction 7.1.1 Production d un champ magnétique Si on considère un conducteur cylindrique droit dans lequel circule un courant I (figure 7.1). Ce courant

Plus en détail

Les systèmes embarqués Introduction. Richard Grisel Professeur des Universités Université de Rouen Nacer Abouchi Professeur ESCPE Lyon

Les systèmes embarqués Introduction. Richard Grisel Professeur des Universités Université de Rouen Nacer Abouchi Professeur ESCPE Lyon Les systèmes embarqués Introduction Richard Grisel Professeur des Universités Université de Rouen Nacer Abouchi Professeur ESCPE Lyon Introduction aux systèmes embarqués Définition. Caractéristiques d

Plus en détail

Aiguilleurs de courant intégrés monolithiquement sur silicium et leurs associations pour des applications de conversion d'énergie

Aiguilleurs de courant intégrés monolithiquement sur silicium et leurs associations pour des applications de conversion d'énergie Aiguilleurs de courant intégrés monolithiquement sur silicium et leurs associations pour des applications de conversion d'énergie ABDELILAH EL KHADIRY ABDELHAKIM BOURENNANE MARIE BREIL DUPUY FRÉDÉRIC RICHARDEAU

Plus en détail

EP 2 339 758 A1 (19) (11) EP 2 339 758 A1 (12) DEMANDE DE BREVET EUROPEEN. (43) Date de publication: 29.06.2011 Bulletin 2011/26

EP 2 339 758 A1 (19) (11) EP 2 339 758 A1 (12) DEMANDE DE BREVET EUROPEEN. (43) Date de publication: 29.06.2011 Bulletin 2011/26 (19) (12) DEMANDE DE BREVET EUROPEEN (11) EP 2 339 758 A1 (43) Date de publication: 29.06.2011 Bulletin 2011/26 (21) Numéro de dépôt: 09179459.4 (51) Int Cl.: H04B 1/69 (2011.01) H03K 5/08 (2006.01) H03K

Plus en détail

Modules d automatismes simples

Modules d automatismes simples Modules d automatismes simples Solutions pour automatiser Modules d'automatismes Enfin, vraiment simple! Un concentré de solution Pour vos petites applications d'automatismes millenium gère : Temporisations

Plus en détail

Circuits intégrés micro-ondes

Circuits intégrés micro-ondes Chapitre 7 Circuits intégrés micro-ondes Ce chapitre sert d introduction aux circuits intégrés micro-ondes. On y présentera les éléments de base (résistance, capacitance, inductance), ainsi que les transistors

Plus en détail

TP Modulation Démodulation BPSK

TP Modulation Démodulation BPSK I- INTRODUCTION : TP Modulation Démodulation BPSK La modulation BPSK est une modulation de phase (Phase Shift Keying = saut discret de phase) par signal numérique binaire (Binary). La phase d une porteuse

Plus en détail

Présentation de la plateforme Diagnostic RFID

Présentation de la plateforme Diagnostic RFID Présentation de la plateforme Diagnostic RFID Responsables Philippe Pannier, professeur, Université de Provence Fabien Pellet, Ingénieur, ISEN Toulon Personnels impliqués Equipe Projet RFID Capteurs Ingénieurs

Plus en détail

Architecture des ordinateurs

Architecture des ordinateurs Architecture des ordinateurs Cours 4 5 novembre 2012 Archi 1/22 Micro-architecture Archi 2/22 Intro Comment assembler les différents circuits vus dans les cours précédents pour fabriquer un processeur?

Plus en détail

Vérifier la qualité de vos applications logicielle de manière continue

Vérifier la qualité de vos applications logicielle de manière continue IBM Software Group Vérifier la qualité de vos applications logicielle de manière continue Arnaud Bouzy Kamel Moulaoui 2004 IBM Corporation Agenda Analyse de code Test Fonctionnel Test de Performance Questions

Plus en détail

Cours de Programmation en Langage Synchrone SIGNAL. Bernard HOUSSAIS IRISA. Équipe ESPRESSO

Cours de Programmation en Langage Synchrone SIGNAL. Bernard HOUSSAIS IRISA. Équipe ESPRESSO Cours de Programmation en Langage Synchrone SIGNAL Bernard HOUSSAIS IRISA. Équipe ESPRESSO 24 septembre 2004 TABLE DES MATIÈRES 3 Table des matières 1 Introduction 5 1.1 La Programmation Temps Réel.........................

Plus en détail

Contrôleur de communications réseau. Guide de configuration rapide DN1657-0606

Contrôleur de communications réseau. Guide de configuration rapide DN1657-0606 K T - N C C Contrôleur de communications réseau Guide de configuration rapide DN1657-0606 Objectif de ce document Ce Guide de configuration rapide s adresse aux installateurs qui sont déjà familiers avec

Plus en détail

Introduction. René J. Chevance

Introduction. René J. Chevance et restauration des données : Introduction Février 2002 René J. Chevance Introduction Présentation de différentes politiques de sauvegarde Plusieurs types de granularité en fonction de la fonctionnalité

Plus en détail

Evolution de l infrastructure transport

Evolution de l infrastructure transport Les réseaux optiques I Les réseaux optiques Jean-Paul GAUTIER, jpg@urec.cnrs.fr CNRS / UREC Une des grandes tendances de la fin des années 90 est la demande croissante en bande passante des réseaux d entreprises

Plus en détail

Sommaire. Couverture de zone de surveillance dans les réseaux de capteurs. De quoi parle-t-on ici (1/2)? Objectif. De quoi parle-t-on ici (2/2)?

Sommaire. Couverture de zone de surveillance dans les réseaux de capteurs. De quoi parle-t-on ici (1/2)? Objectif. De quoi parle-t-on ici (2/2)? ouverture de zone de surveillance dans les réseaux de capteurs Jean arle, Master Recherche Informatique Option Informatique Mobile 008-009 s Jean arle - Master Recherche Informatique 008-009 Objectif e

Plus en détail

CENTRALE DE SURVEILLANCE EMBARQUEE MULTIMEDIA

CENTRALE DE SURVEILLANCE EMBARQUEE MULTIMEDIA CENTRALE DE SURVEILLANCE EMBARQUEE MULTIMEDIA Fonctions principales : Ordinateur multimédia embarqué sous Linux 2.6 Enregistreur audio-vidéo 4 canaux 8 Mbps, Full HD 1920x1080p, 4 caméras simultanées,

Plus en détail

Armoire de gradation série DX2

Armoire de gradation série DX2 Armoire de gradation série DX2 30,60 ou 120 modules de gradation 2.4kW par armoire Un gradateur double 2.4kW ou un gradateur simple 6kW par module Option de rampe de gradation de 350,500 ou 800 microsecondes

Plus en détail

Application Delivery à la demande

Application Delivery à la demande Sommaire : 2 Renforcer les capacités sans impacter les coûts opérationnels 2 Simplifier le réseau 2 Optimiser les performances des applications à grande échelle 2 Atteindre une fiabilité exceptionnelle

Plus en détail

SECURIT GSM Version 2

SECURIT GSM Version 2 EOLE informatique SECURIT GSM Version 2 Notice d installation & Guide utilisateur Eole informatique 42 rue Claude Decaen -75012 Paris Tél. 01.43.43.00.97 www.eole-informatique.com 15/03/2006 SOMMAIRE Notice

Plus en détail

Fonctions de la couche physique

Fonctions de la couche physique La Couche physique 01010110 01010110 Couche physique Signal Médium Alain AUBERT alain.aubert@telecom-st-etienne.r 0 Fonctions de la couche physique 1 1 Services assurés par la couche physique Transmettre

Plus en détail

T500 DUAlTACH. JAQUET T500 DualTach Instrument de mesure et de surveillance équipé de 2 entrées fréquence TACHYMETRE 2 CANAUX

T500 DUAlTACH. JAQUET T500 DualTach Instrument de mesure et de surveillance équipé de 2 entrées fréquence TACHYMETRE 2 CANAUX 02-09 T500 DUAlTACH JAQUET T500 DualTach Instrument de mesure et de surveillance équipé de 2 entrées fréquence JAQUET T500 DualTach Instrument multi canal de mesure et de surveillance pour applications

Plus en détail

TeamConnect. SL TeamConnect CU1, Unité centrale SL TeamConnect CB1, Combox CARACTÉRISTIQUES

TeamConnect. SL TeamConnect CU1, Unité centrale SL TeamConnect CB1, Combox CARACTÉRISTIQUES TeamConnect SL TeamConnect CU1, Unité centrale SL TeamConnect CB1, Combox CARACTÉRISTIQUES 8 Connectivité par ligne téléphonique terrestre et PC/Voix sur IP (VoiP) pour téléconférence via téléphone et

Plus en détail

Extrait des Exploitations Pédagogiques

Extrait des Exploitations Pédagogiques Pédagogiques Module : Compétitivité et créativité CI Première : Compétitivité et créativité CI institutionnel : Développement durable et compétitivité des produits Support : Robot - O : Caractériser les

Plus en détail

Outils de CAO. Ecole de microélectronique IN2P3. 12-15 octobre 2009, La Londe Les Maures. C. Colledani

Outils de CAO. Ecole de microélectronique IN2P3. 12-15 octobre 2009, La Londe Les Maures. C. Colledani Outils de CAO Ecole de microélectronique IN2P3 12-15 octobre 2009, La Londe Les Maures C. Colledani Réseau IAO-CAO électronique IN2P3 Mission: Doter les électroniciens de l'in2p3 des outils de conception

Plus en détail

BCI - TPSP - Processeurs et Architectures Numériques

BCI - TPSP - Processeurs et Architectures Numériques BCI - TPSP - Processeurs et Architectures Numériques Jean-Luc Danger Guillaume Duc Tarik Graba Philippe Matherat Yves Mathieu Lirida Naviner Alexis Polti Jean Provost c 2002-2011 groupe SEN, Télécom ParisTech

Plus en détail

J AUVRAY Systèmes Electroniques TRANSMISSION DES SIGNAUX NUMERIQUES : SIGNAUX EN BANDE DE BASE

J AUVRAY Systèmes Electroniques TRANSMISSION DES SIGNAUX NUMERIQUES : SIGNAUX EN BANDE DE BASE RANSMISSION DES SIGNAUX NUMERIQUES : SIGNAUX EN BANDE DE BASE Un message numérique est une suite de nombres que l on considérera dans un premier temps comme indépendants.ils sont codés le plus souvent

Plus en détail

- MANIP 2 - APPLICATION À LA MESURE DE LA VITESSE DE LA LUMIÈRE

- MANIP 2 - APPLICATION À LA MESURE DE LA VITESSE DE LA LUMIÈRE - MANIP 2 - - COÏNCIDENCES ET MESURES DE TEMPS - APPLICATION À LA MESURE DE LA VITESSE DE LA LUMIÈRE L objectif de cette manipulation est d effectuer une mesure de la vitesse de la lumière sur une «base

Plus en détail

Découverte et analyse de dépendances dans des réseaux d entreprise

Découverte et analyse de dépendances dans des réseaux d entreprise Découverte et analyse de dépendances dans des réseaux d entreprise Samer MERHI Sous la direction de: Olivier FESTOR LORIA - Equipe MADYNES - Université Henri Poincaré June 24, 2008 1 / 24 Plan 1 Introduction

Plus en détail

Méthode Agile de 3 ème génération. 2008 J-P Vickoff

Méthode Agile de 3 ème génération. 2008 J-P Vickoff PUMA Essentiel Méthode Agile de 3 ème génération 1 Structure de la présentation PUMA Essentiel méthode Agile de 3 ème génération Quelques principes Agiles Principales pratique Agile de pilotage Structure

Plus en détail

fullprotect inside EOLE SPEie RS E-SPEie 0.5-12-5V-0.6A-RS 1.0 revision Protection environnement Datasheet édition française

fullprotect inside EOLE SPEie RS E-SPEie 0.5-12-5V-0.6A-RS 1.0 revision Protection environnement Datasheet édition française Protection environnement Datasheet édition française 1.0 revision R-D-CO-D-27112011-1.0-C fullprotect inside SPEi Protection environnement interne SPEe Protection environnement externe SPEc Contrôle de

Plus en détail

MACHINE A SOUDER MANUEL D UTILISATION

MACHINE A SOUDER MANUEL D UTILISATION MACHINE A SOUDER (Réf ME056) MANUEL D UTILISATION France DETECTION SERVICES ZA LA CIGALIERE 2 84250 LE THOR Tél. 04.90.33.75.14 Fax : 04.90.33.75.17 Contact: contact@fdspro.com Web site: fdspro.com 1 Affichage

Plus en détail

On distingue deux grandes catégories de mémoires : mémoire centrale (appelée également mémoire interne)

On distingue deux grandes catégories de mémoires : mémoire centrale (appelée également mémoire interne) Mémoire - espace destiné a recevoir, conserver et restituer des informations à traiter - tout composant électronique capable de stocker temporairement des données On distingue deux grandes catégories de

Plus en détail

VMT Mod : Ventilation modulée pour le tertiaire

VMT Mod : Ventilation modulée pour le tertiaire Notice de Montage VMT Mod : Ventilation modulée pour le tertiaire VC 100876 - D920_a - RCS 956 506 828 - Imprimé en France/Printed in France VMT Mod est un système de ventilation intelligent à destination

Plus en détail

DEVELOPPEMENT ET MAINTENANCE DE LOGICIEL: OUTIL DE PILOTAGE

DEVELOPPEMENT ET MAINTENANCE DE LOGICIEL: OUTIL DE PILOTAGE DEVELOPPEMENT ET MAINTENANCE DE LOGICIEL: OUTIL DE PILOTAGE Développement et maintenance de logiciel Automne 2006 François-Xavier RIU Thomas POUPART Seng LAO Zhe WU SOMMAIRE Introduction Introduction INTRODUCTION

Plus en détail

La Qualité de Service le la Voix sur IP. Principes et Assurance. 5WVOIP rev E

La Qualité de Service le la Voix sur IP. Principes et Assurance. 5WVOIP rev E La Qualité de Service le la Voix sur IP Principes et Assurance 5WVOIP rev E Introduction La généralisation des infrastructures IP dans les entreprises s accompagne du développement de techniques d amélioration

Plus en détail

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits Architecture des ordinateurs TD1 - Portes logiques et premiers circuits 1 Rappel : un peu de logique Exercice 1.1 Remplir la table de vérité suivante : a b a + b ab a + b ab a b 0 0 0 1 1 0 1 1 Exercice

Plus en détail

Guide de l utilisateur. Calibreur de Courant / Voltage Modèle 412355A

Guide de l utilisateur. Calibreur de Courant / Voltage Modèle 412355A Guide de l utilisateur Calibreur de Courant / Voltage Modèle 412355A Introduction Félicitations pour votre achat du Calibreur de Courant/Voltage Extech. Le modèle 412355A peut mesurer et trouver la source

Plus en détail

SugarCubes. Jean-Ferdinand Susini Maître de Conférences, CNAM Chaire systèmes enfouis et embarqués. Paris, le 9 janvier, 2009

SugarCubes. Jean-Ferdinand Susini Maître de Conférences, CNAM Chaire systèmes enfouis et embarqués. Paris, le 9 janvier, 2009 SugarCubes Jean-Ferdinand Susini Maître de Conférences, CNAM Chaire systèmes enfouis et embarqués Paris, le 9 janvier, 2009 Plan 2 Les SugarCubes au dessus de J2ME Quelques résultats expérimentaux Les

Plus en détail

I- Définitions des signaux.

I- Définitions des signaux. 101011011100 010110101010 101110101101 100101010101 Du compact-disc, au DVD, en passant par l appareil photo numérique, le scanner, et télévision numérique, le numérique a fait une entrée progressive mais

Plus en détail

Master4Light. Caractérisation Optique et Electrique des Sources Lumineuses. Equipement 2-en-1 : source de courant et spectrophotomètre

Master4Light. Caractérisation Optique et Electrique des Sources Lumineuses. Equipement 2-en-1 : source de courant et spectrophotomètre DSF-M4L-Rev2.0-04/12 Master4Light Equipement 2-en-1 : source de courant et spectrophotomètre Interface graphique logicielle, connexion USB Configuration personnalisable : laboratoire et in-situ http://www.majantys.com

Plus en détail