II Conception des cellules MOS

Dimension: px
Commencer à balayer dès la page:

Download "II Conception des cellules MOS"

Transcription

1 Méthodologie de conception des circuits intégrés VLI - 2. Cellules MO 1/02/10 II Conception des cellules MO 1. Circuits logiques combinatoires - Logique Complémentaire (CMO) - Logique nmo - Logique Pseudo-nMO - Logique d'interrupteurs 2. Dessins de masques - Règles de dessin - Usage des diverse couches - Diagrammes bâtons 3. Circuits logiques séquentiels - Mémoires élémentaires - ROM/RM 4. Délais et Consommation - Rappels - Estimation des délais, modèle RC - ortance - Consommation statique et dynamique - Consommation électrique 5. Interconnexions 81 II.1 Circuits logiques combinatoires E = f(e) 1.1 Logique complémentaire : CMO inverseur CMO Vdd Rp Id E E = 0 = 1 = 1 = 0 Vss Rn CL 82 Olivier entieys

2 Méthodologie de conception des circuits intégrés VLI - 2. Cellules MO 1/02/10 NND NND et NOR NOR > Généralisable à n entrées 83 Conception pour portes complexes Permet de générer le complément de toute formule ET/OU Réseau pmo fournit le 1, réseau nmo fournit le 0 = f(e) = Σ [Π] = Π [Σ] E0 E1 E3 E4 P N = 1 = 0 Problème de Fan-in important pour portes très complexes Inefficaces pour l implantation de rapides et complexes portes alternative : mise en cascade ou techniques à base de portes de transfert Exemple : =. + C.D porte OI (nd Or Invert) C D 84 Olivier entieys

3 Méthodologie de conception des circuits intégrés VLI - 2. Cellules MO 1/02/10 Principe général Règles de traduction du complément de la sortie F réseau N réseau P x x x F1.F2 F1 F2 F1 F2 F1 F1+F2 F1 F2 F Logique d interrupteurs Porte de transfert Transistor nmo #1 (Vdd-Vt) transmet mal Vdd Transistor pmo 0 # 0 (Vt) 1 1 transmet mal Vss porte de transmission (transmission gate) Exemple : MUX 2 voies E C!C E C = si C = 0 si C = 1 =.C +.C Exemple : XOR 86 Olivier entieys

4 Méthodologie de conception des circuits intégrés VLI - 2. Cellules MO 1/02/10 Méthode générale X Y Z U W x x V V X Y Z X X Y Y Z Z V implifications si V = 0 on peut supprimer le pmo si V = 1 on peut supprimer le nmo Pour des raisons de fabrication on regroupe les transistors N et les transistors P (voir dessins de masque) 87 Précautions d emploi olution en général plus compacte que la logique à base de portes MI il faut : éviter un grand nombre de transistors en série perte de tension, temps de propagation maîtriser les conflits E1 E2 i et à 1 et E1 <> E2 est indéfinie E1 et E2 sont perturbées Règles : une entrée de contrôle ne doit pas dépendre d une entrée de données f C Conflit temporaire lorsque C passe à 1 conflit en C = X = X = X 88 Olivier entieys

5 Méthodologie de conception des circuits intégrés VLI - 2. Cellules MO 1/02/10 II.2. Dessins de masques Layout CMO Technologie X nm ou 2λ λ : plus petit taille de dessin masque canal transistor : 2λ Transistor nmo Transistor pmo source grille drain source grille drain N e - N P P P caisson N L N W P Polysilicium caisson N Le diagramme TICK Vdd Vdd In Out E Vss Vss 89 Technologie CMO Porte élémentaire (gate) : NND Caisson n Vdd 5λ 5λ 3λ 2λ Règles de dessin (DRC) largeur : largeur min. autorisée pour chaque couche espacement : séparation min. entre zones non connectées débordement : dépassement min. d une couche au delà de l autre recouvrement : distance min. de recouvrement entre deux couches Vss largeur espacement débordement recouvrement 90 Olivier entieys

6 Méthodologie de conception des circuits intégrés VLI - 2. Cellules MO 1/02/10 Règles de dessin Règles pour un exemple de process 3λ 2λ Métal 1 Caisson n Vdd 3λ 2λ Métal 2 5λ λ 2λ 3λ 2λ 3λ Polyi Diffusion N/P 3λ 2λ 5λ λ 2λ Vss Contact Poly-M1 Contact Diff-M1 Via M1-M2 91 Usage des diverses couches Interconnexions possibles entre couches Métal1-Poly Métal1-Diffusion N Métal1-Diffusion P Métal1-Métal2 (VI) Métal de niveaux 1 et 2 : faible résistivité Interconnexions longues Masse et limentation Polyi : résistivité plus élevée Interconnexions de longueur moyenne Interconnexions de grille dans une cellule standard Resistances Diffusion : résistivité plus forte Interconnexions courtes Capacité élevée 92 Olivier entieys

7 Méthodologie de conception des circuits intégrés VLI - 2. Cellules MO 1/02/10 demo Exemple : inverseur TP microélectronique sur logiciel MicroWind 93 II Conception des cellules MO 1. Circuits logiques combinatoires - Logique Complémentaire (CMO) - Logique nmo - Logique Pseudo-nMO - Logique d'interrupteurs 2. Dessins de masques - Règles de dessin - Usage des diverse couches - Diagrammes bâtons 3. Circuits logiques séquentiels - Mémoires élémentaires - ROM/RM 4. Délais et Consommation - Rappels - Estimation des délais, modèle RC - ortance - Consommation statique et dynamique - Consommation électrique 5. Interconnexions 94 Olivier entieys

8 Méthodologie de conception des circuits intégrés VLI - 2. Cellules MO 1/02/10 II.3 Circuits séquentiels 1 Mémoires élémentaires 1.1 Mémorisation statique par rétroaction Latch : 2 inverseurs rebouclés φ D D Q Q En D Q φ φ φ = 1 : Q enregistre la valeur de D φ = 0 : D est déconnecté, Q s auto-entretient base de portes D D Q φ Q φ R Q 95 Circuits séquentiels 1.2 Mémorisation dynamique par capacités Capacités grille/substrat = f(surface) L état est conservé pour une durée de quelques ms Courant de fuite Rafraîchissement D Q φ CL Ex. Registre à décalage D Q φ1 φ2 φ 1 φ 2 96 Olivier entieys

9 Méthodologie de conception des circuits intégrés VLI - 2. Cellules MO 1/02/ ascule D (Flip-Flop) Circuits séquentiels Commande par front Deux latchs en série upprime la transparence Très sensible aux aléas de l horloge Q D D Q Q clk 2 clk 4 clk D 1 3 Q clk clk clear clk = 0 : chargement de D (valide) dans 1 le latch crée par 1 et 2 garde la valeur de D quand clk = 1 jusqu à que!d soit transféré au deuxième latch 3 et 4 signal clear asynchrone : on remplace les inverseurs 1 et 4 par des portes NND 97 Circuits séquentiels Optimisations de la bascule D C 2 MO Flip-Flop - front descendant Insensible aux décalages d horloges permet d augmenter la vitesse 98 Olivier entieys

10 Méthodologie de conception des circuits intégrés VLI - 2. Cellules MO 1/02/10 II.3.2 Mémoires RM / ROM Mémoire mortes ROM : point mémoire élémentaire de type diode par masquage PROM : point mémoire élémentaire de type transistor avec fusible (métallisation ou avalanche) EPROM : point mémoire élémentaire de type MO à grille flottante Pointe de courant : avalanche (MO CC) UV : débloque le MO EEPROM : point mémoire élémentaire de type MO à deux grilles dont une flottante écriture : électron enlevé de la grille flottante par effet tunnel : 1 effacement : écriture d un 0 : injection d un électron par effet tunnel Mémoire vives DRM : point mémoire élémentaire de type latch dynamique (capacité) cellules simples, cycle de rafraîchissement (# ms) n bits, 2 n points mémoire, n amplificateurs de L/E, n interrupteurs de rafraîchissement RM : point mémoire élémentaire de type latch statique cellules plus complexes, pas de rafraîchissement Paramètres communs Paramètres statiques : taille Paramètres dynamiques : Taccès, Tp, Tmaintien, Durée d impulsion R/W,... uffers d E/, Décodage, Timing mémoire : définitions Read cycle RED Read access Read access Write cycle WRITE Data valid Write access DT Data written 100 Olivier entieys

11 Méthodologie de conception des circuits intégrés VLI - 2. Cellules MO 1/02/10 rchitecture mémoire : décodeurs M bits M bits N words" Word 0 Word 1 Word 2 torage cell Word 0 Word 1 Word 2 torage cell N-2 N-1 Word N-2 Word N-1 K-1 K = log 2 N Word N-2 Word N-1 Input-Output ( M bits) Input-Output ( M bits) Intuitive architecture for N x M memory Too many select signals: N words == N select signals Decoder reduces the number of select signals K = log N 2 dapted from J. Rabaey et al., Digital Integrated Circuits, econd Edition. Copyright 2003 Prentice Hall/Pearson. 101 rchitecture mémoire générale Problem: PECT RTIO or HEIGHT >> WIDTH 2 L-K it line K K+1 Word line L-1 ense amplifiers-drivers M. 2 K mplify swing to rail-to-rail amplitude 0 K-1 Column decoder elects appropriate word Input-Output ( M bits) 102 Olivier entieys

12 Méthodologie de conception des circuits intégrés VLI - 2. Cellules MO 1/02/10 rchitecture mémoire hiérarchique lock 0 lock i lock P 2 1 Row address Column address lock address Global data bus Control circuitry lock selector Global amplifier/driver I/O 103 Mémoires ROM Mos ROM (type NOR) Niveau du point P[i,j] : Ligne (i) sélectionnée WL[i] (word line) Colonne (j) sélectionnée L[j] (bit line) i un transistor existe WL[i]=1 impose transistor passant, le niveau obtenu sur la ligne j est égale à 0. (connecté au GND) i absence de transistor : connexion directe avec VDD le niveau par défaut obtenu vaut 1 Programmation par masque réalisant aux points (0) voulu un transistor NMO par création d un contact métal-diffusion V DD Pull-up devices Cell WL [0] WL [1] WL [2] WL [3] GND GND Polysilicon Metal1 Diffusion Metal1 on Diffusion L [0] L [1] L [2] L [3] 104 Olivier entieys

13 Méthodologie de conception des circuits intégrés VLI - 2. Cellules MO 1/02/10 Mémoire ROM Mos ROM (type NND) Tous les WL[i]=1 par défaut exceptée la ligne sélectionnée i absence de transistor : connexion directe avec VDD Programmation par masque réalisant aux points (0) voulu un transistor NMO Pas de contact avec VDD ou GND : réduction de la taille Diminution en performance par rapport aux ROM NOR V DD Pull-up devices Cell L [0] L [1] L [2] L [3] WL [0] WL [1] WL [2] Polysilicon Diffusion Metal1 on Diffusion WL [3] 105 Mémoires PROM PROM Transistor diffusés : programmation du seuil des transistors. uivant la tension de seuil obtenu, le transistor est ou non valide Invention du concept en 1984 EPROM : effaçable par utra-violets : grande densité mais lent et extraction de la mémoire EEPROM : intégration plus faible (2tr/point au lieu de 1), effaçable sur site mais reste lent (5µms/mot) Flash EPROM intégration et vitesse. (Effacement par le substrat pour l ensemble) ex : Hitachi, 1998, Flash de 256Mo Principe : Floating-Gate transistor ou transistor à tension de seuil programmable 20 V 0 V 5 V 10 V 5 V 20 V 0 V 5 V D D D Forte tension créant un phénomène d avalanche, les électrons sont happés et piégés sur la grille flottante. Les électrons restent piégés pour une tension inférieure. En appliquant 5V, l effet transistor ne peut avoir lieu, la tension de seuil résultante est de 7.5V. 106 Olivier entieys

14 Méthodologie de conception des circuits intégrés VLI - 2. Cellules MO 1/02/10 Mémoires RM Mos RM 6 transistors nécessaires pour réaliser un point mémoire : flip flop où WL remplace l horloge i-polarité des bit-lines requis pour la marge de bruit pendant les cycles de lecture et d écriture élection de la ligne : WL[i] = 1 Ecriture d un 0 : L=0 et!l=1 Reset Lecture : L et!l préchargé à 1 Lecture de Q=1 utre solution : inverseur à NMO résistif 4 transistors mais consommation et marge de bruit réduite ( 0 =Vt) M 2 WL V DD M 4 Q M 5 Q M 6 M 1 M 3 L L 107 Mémoire DRM Mos DRM à 1 transistor Rafraîchissement nécessaire si la Ram n est pas modifiée : 1 lecture suivie d 1 écriture (tous les 2-4ms) Ecriture : WL = 1, la valeur à mémoriser est placée sur L, Cs se charge ou se décharge en conséquence Lecture : WL = 1, L chargée à VDD/2, ensuite un échange de charge à lieu entre Cs et Cbl mplification nécessaire après lecture : vitesse et niveau Intégration explicite d une capacité supplémentaire Cs WL L WL Write 1 Read 1 M 1 X GND V DD 2 V T C V DD L V DD /2 V sensing C L C ΔV = ( Vbit VDD / 2) C + C L 108 Olivier entieys

15 Méthodologie de conception des circuits intégrés VLI - 2. Cellules MO 1/02/10 DRM 3 transistors Mémoire DRM 2 lignes WL et L : lecture et écriture, pas d amplification L 1 L 2 WWL RWL WWL M 3 RWL M 1 X M 2 X V DD 2 V T C L 1 V DD L 2 V DD 2 V T D V 109 II Conception des cellules MO 1. Circuits logiques combinatoires - Logique Complémentaire (CMO) - Logique nmo - Logique Pseudo-nMO - Logique d'interrupteurs 2. Dessins de masques - Règles de dessin - Usage des diverse couches - Diagrammes bâtons 3. Circuits logiques séquentiels - Mémoires élémentaires - ROM/RM 4. Délais et Consommation - Rappels - Estimation des délais, modèle RC - ortance - Consommation statique et dynamique - Consommation électrique 5. Interconnexions 110 Olivier entieys

16 Méthodologie de conception des circuits intégrés VLI - 2. Cellules MO 1/02/10 II.4.1. Temps de propagation Rappels inverseur CMO Vin Vdd Rp 50% E Id E(t) (t) Vout t phl t plh t Vss CL Rn CL 50% t Charge : E(t) = 0 : (t) = Vdd[1 - e -t/τp ] Décharge : E(t) = 1 : (t) = Vdd.e -t/τn τn = Rn.Cl τp = Rp.Cl Tp = MX(Tp LH, Tp HL ) 111 Caractéristique de transfert Etat stable : E = Vss ou Vdd ucun courant à part les courants de fuite (négligés en général) Dissipation de puissance # 0 = Pstat Etat transitoire : Vt < E < Vdd -Vt Deux transistors partiellement passants Dissipation de puissance importante Pdyn Id V out NMO off PMO res 2.5 NMO sat PMO res Vt Vdd Vin NMO sat PMO sat NMO res PMO sat NMO res PMO off V in Consommation dynamique : P dyn = F T.Ceff.Vdd 2 Ceff : capacité de charge effective, Ceff=CL P(0 1) F T : fréquence des transitions pour des fronts rapides (attention aux fronts lents!) 112 Olivier entieys

17 Méthodologie de conception des circuits intégrés VLI - 2. Cellules MO 1/02/10 Eléments parasites du MO (rappel) G C G C GD D C C G C D NMO Enhancement 1 1 Résistance drain/source: Ron = = K( Vdd Vt) k( Vdd Vt) ε. W. L Capacité de grille: Cg = = W. L. Cox tox Capacité drain / source / bulk : Csb = Cdb W. L. Cj L W 113 Éléments parasites E Capacités grille - drain - source / substrat Vdd C db2 C g4 C gd12 C gd12 C w C db2 : capa. drain Pmos (C DP ) C db1 : capa. drain Nmos (C DN ) C gd12 : capa. grille - drain Nmos et Pmos C DP + C DN = C DN (1+a) avec a = (W/L) P / (W/L) N Cint = C db1 + C db C gd12 C db1 Vss C g3 C w : capa. d interconnexion = Citx C g3 g4 : capa. grilles de l'étage suivant Cext = C g3 + C g4 = capa. de charge C L = C int + C itx + C ext E C L Capa. interne : capacité de l étage (drain/substrat,... ) Capa. externe : capacité de grille des étages commandés Capa. itx: capacité d interconnexion étages 1-2 (souvent négligée pour portes simples et techno. courante) 114 Olivier entieys

18 Méthodologie de conception des circuits intégrés VLI - 2. Cellules MO 1/02/10 Modèle simplifié Transistors passants : résistances Nœuds du circuit : capacités Unités : Rn = résistance d un transistor NMO C L = capacité totale de charge Transistor type P : résistance Rp E 2λx2λ 2λx2λ E C tplh tphl C L t plh = Rp.C L t phl = Rn.C L 115 NND k entrées Exemples NOR k entrées E1 Ek E1 E1 Ek Ek E1 Ek t plh = Rp.Cl t phl = k.rn.cl t plh = k.rp.cl t phl = Rn.Cl 116 Olivier entieys

19 Méthodologie de conception des circuits intégrés VLI - 2. Cellules MO 1/02/10 Taille des transistors Inverseur CMO Une technologie T 0.25µ donne, pour un transistor NMO 2λ:2λ (L:W), des valeurs de Rn u et Cgn u de 1250Ω et 0.3fF. Pour des transistors NMO de taille 2λ:6λ, et des transistors PMO de taille 2λ:12λ. Rn = Rp = Cgn = Cgp = Temps de propagation de l inverseur composé de ces transistors chargé par un inverseur du même type? Fonction complexe Même technologie que l inverseur 6 D Vdd 6 C Tplh = F Tphl = 4 Indiquez les chemins critiques D 2 4 C Exemple TD Exprimez 1 en fonction de et. Exprimez en fonction de 1, et En déduire la fonction global de la cellule Donnez le nombre équivalent de portes ainsi qu'une estimation de son temps de traversée Olivier entieys

20 Méthodologie de conception des circuits intégrés VLI - 2. Cellules MO 1/02/10 2. Entrance - ortance Entrance / ortance (Fan-in / Fan-out) Entrance (Fan-In) : rapport entre la capacité d entrée de la porte et Cmin, également appelé puissance de commande (drive) ortance (Fan-Out) : rapport entre la capacité qui charge la porte et Cmin ortance relative : rapport entre le Fan-out et le Fan-in de l étage suivant. R = FOUT/ FIN Unité = inverseur élémentaire (Transistor 2λx2λ) 3x sortance = 3 3x sortance relative = 3 C min Drive Porte FIN FOUT R 3 4 4/3 2 C 1 D 1 3x 2x C D 119 Temps de propagation Performances Tp = délai de transport + délai inertiel = Dt + Di = Dt + R.Du Technologie Température Tension lim. Capacité de charge ortance relative Tp transport sortance R : sortance relative Du : délai unitaire 120 Olivier entieys

21 Méthodologie de conception des circuits intégrés VLI - 2. Cellules MO 1/02/10 Exemple TD Délais d un arbre d horloge Donner les sortances relatives des différents nœuds du schéma ci dessous En déduire l'estimation du temps de propagation de E vers (Tp) du circuit. On fixe le délai de transport de l'inverseur égal à 0.29ns et le délai unitaire égal à 0.17ns Peut on améliorer ce schéma afin de diminuer le temps de propagation Tp? E 4x 1 équivalent inverseur 64 équivalent inverseur Consommation P = Pc + Pcc + Ps Puissance de charge : Pc Charge et décharge des capacités du circuit Courants de court-circuit : Pcc Chemin de court circuit pendant la commutation des structures logiques statiques Courants de fuite : Ps Jonctions, fonctionnement sous le seuil P dyn = α C l Vdd 2 f 122 Olivier entieys

22 Méthodologie de conception des circuits intégrés VLI - 2. Cellules MO 1/02/10 Puissance statique (1) Courants de fuite (ubthreshold Leakage Current) Même lorsque Vgs < Vt les transistors MO ne sont pas complètement fermés (p to n) i on diminue Vdd (#Vt) alors ces courants peuvent devenir significatifs Courants inverses de diode ource/drain - ubstrat Négligeable tant que Vdd > Vt Ioff I off = I 0 e VT n* U T 123 Puissance statique (2) Impact de la tension de seuil Technologies récentes sont à deux-vt Cellules Low-Leakage ou High-Performance 124 Olivier entieys

23 Méthodologie de conception des circuits intégrés VLI - 2. Cellules MO 1/02/10 Puissance statique (3) Négligeable ou pas? ouvent négligée pour les blocs logiques ayant beaucoup d'activité Mémoires de grandes tailles, peu d'activité (relativement au nombre de transistors) puissance statique non négligeable Technologies nanométriques Diminution de Vdd et donc de Vt ugmentation de l'influence de la puissance statique Optimisations? Ps = N Tr. Vdd. I0. e VT n* U T 125 Puissance statique (4) Technologie 130 nanomètres I static () slow-slow typical fast-fast -10 o C 2.1E E E o C 1.7E E E o C 6.1E E E-03 Circuit avec 5 millions de transistors MO, 0.6 Volt 1 m leakage upérieur au courant total spécifié! [Piguet03] 126 Olivier entieys

24 Méthodologie de conception des circuits intégrés VLI - 2. Cellules MO 1/02/10 Puissance dynamique (1) Courants de charge/décharge des capacités : Ic Vdd Idd = Icc + Ic Icc Ic Cl Vin Icc Vout Ic Pc = α.f.cl.vdd 2 α : taux d'activité, Cl : capacité totale de charge, f : fréquence 127 Puissance dynamique (2) Energie par transition = C l Vdd 2 Puissance = Energie par transition Vitesse de transition = C l Vdd 2 f La puissance est peu fonction de la taille des transistors de la cellule considérée P c = C l Vdd 2 f 0 1 = C l Vdd 2 f P 0 1 = α C l Vdd 2 f = C EFF Vdd2 f C EFF est la capacité effective = C l P 0 1 Puissance Dépend des données Dépend de l activité 128 Olivier entieys

25 Méthodologie de conception des circuits intégrés VLI - 2. Cellules MO 1/02/10 Puissance dynamique (3) Courants de court-circuit : Icc Chemin de court circuit pendant la commutation des structures logiques statiques : NMO et PMO conduisent simultanément Pour les circuits conçus correctement 15% Fronts lents? 129 Puissance dynamique (4) Courants de court-circuit : impact des fronts lents Temps de court circuit plus long Dépend de la charge de la porte Capacité faible Capacité élevée Pcc = α.f.τ.k.(w/l).(vdd - 2Vt) 3 /2 α : taux d'activité, τ : temps de montée, K : techno, W/L : taille 130 Olivier entieys

26 Méthodologie de conception des circuits intégrés VLI - 2. Cellules MO 1/02/10 Puissance dépend des données Exemple : porte inverseur P(0 ->1) = P(OUT = 0) P(OUT = 1) = 1/4 Exemple : porte NOR statique à 2 entrées OUT Fonction NOR Hypothèse : P(=1) = 1/2 et P(=1) = 1/2 P(OUT=1) = 1/4 P(0 ->1) = P(OUT = 0) P(OUT = 1) = 3/4 1/4 = 3/16 Ceff = 3/16. Cl Fonction de la statistique des entrées P 0 1 ND (1-P P ) P P OR (1-P )(1-P ) (1-(1-P )(1-P )) XOR (1-(P +P -2 P P )) (P +P -2 P P ) 131 Probabilités de transition Probabilités de transition d'une porte NOR P =P(=1) P =P(=1) P 1 =P(=1)=(1-P )(1-P ) P 0 1 = P 0.P 1 =(1-(1-P )(1-P )) (1-P )(1-P ) α est une fonction dépendant fortement de la statistique des signaux 132 Olivier entieys

27 Méthodologie de conception des circuits intégrés VLI - 2. Cellules MO 1/02/10 Probabilités de transition Propagation des probabilités P() = ½ P() = ½ P(C) = ½ C X P(X =1) = 1/4 P( = 1) = 1/2. 3/4 = 3/8 αx = P(X=0). P(X=1) = (1-P(X=1)). P(X=1) = (1 1/4). 1/4 = 3/16 α = P(=0). P(=1) = (1 P(=1)). P(=1) = (1 3/8). 3/8 = 5/8.3/8 = 15/ Probabilités de transition Problème du traitement de la reconvergence C X Z P(Z=1) = P(=1) P(X=1 =1) Devient rapidement complexe! 134 Olivier entieys

28 Méthodologie de conception des circuits intégrés VLI - 2. Cellules MO 1/02/10 Glitch en CMO Glitch (hasards dynamiques) Transitions dynamiques parasites Consommation importante (ET INUTILE) de courant C X C X 135 Example 1: Chain of NND Gates 1 out1 out2 out3 out4 out lt) o (V V out2 out8 out6 out4 out1 out3 out5 out t (nsec) 136 Olivier entieys

29 Méthodologie de conception des circuits intégrés VLI - 2. Cellules MO 1/02/10 Example 2: dder Circuit C in dd0 dd1 dd2 dd14 dd lts o V, e g lta o V t u tp u O m u Cin Time, ns II.5. Interconnexions Pourquoi s intéresser aux interconnexions? Constitue une charge supplémentaire en sortie de porte Diminution des technologies ugmentation de leurs effets parasites ugmentation de la taille des puces Idem Modèle de capacité Capacité d interconnexion : C int = ε ox WL t ox L W Champ électrique H t OX i0 2 ubstat 138 Olivier entieys

30 Méthodologie de conception des circuits intégrés VLI - 2. Cellules MO 1/02/10 Interconnexions utres Capacité de bord : (modèle empirique) H i0 2 ubstrat Capacités inter-fils (cross talk) : ΔV C X = C + C X 5 X XY Interconnexions locales V Ex: 5x5 µm Overlap: 0.35 V Interférence φ In 1 In 2 In 3 φ V DD C XY I m p o PDN Impossible d'afficher l'image. Votre ordinateur manque X peut-être de mémoire pour ouvrir C X l'image ou l'image est Y 5V OV Interconnexions globales C total C interconnexion C inter-fils 139 Modèle de résistances Interconnexions R = ρ L HW Réduction de la techno augmentation de la résistance en 1/H olutions : Conserver H constant ugmenter le nombre de couches d interconnexions (5 en 1998) Résistances de contact optimisées Tungsten plugs M5 M4 M3 M2 M1 140 Olivier entieys

31 Méthodologie de conception des circuits intégrés VLI - 2. Cellules MO 1/02/10 Pad de sortie Mise en parallèle de transistor de petite taille pour réduire la résistance et la capacité du câblage. onding Pad Interconnexions V DD 100 µm Out GND In V DD Out NMO PMO 141 Olivier entieys

Contribution à la conception par la simulation en électronique de puissance : application à l onduleur basse tension

Contribution à la conception par la simulation en électronique de puissance : application à l onduleur basse tension Contribution à la conception par la simulation en électronique de puissance : application à l onduleur basse tension Cyril BUTTAY CEGELY VALEO 30 novembre 2004 Cyril BUTTAY Contribution à la conception

Plus en détail

On distingue deux grandes catégories de mémoires : mémoire centrale (appelée également mémoire interne)

On distingue deux grandes catégories de mémoires : mémoire centrale (appelée également mémoire interne) Mémoire - espace destiné a recevoir, conserver et restituer des informations à traiter - tout composant électronique capable de stocker temporairement des données On distingue deux grandes catégories de

Plus en détail

Les transistors à effet de champ.

Les transistors à effet de champ. Chapitre 2 Les transistors à effet de champ. 2.1 Les différentes structures Il existe de nombreux types de transistors utilisant un effet de champ (FET : Field Effect Transistor). Ces composants sont caractérisés

Plus en détail

Les transistors à effet de champ

Les transistors à effet de champ etour au menu! Les transistors à effet de champ 1 tructure A TANITO à JONCTION (JFET) Contrairement aux transistors bipolaires dont le fonctionnement repose sur deux types de porteurs les trous et les

Plus en détail

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007 Introduction à l architecture des ordinateurs Adrien Lebre Décembre 2007 Plan - partie 1 Vue d ensemble La carte mère Le processeur La mémoire principal Notion de bus Introduction à l architecture des

Plus en détail

Aiguilleurs de courant intégrés monolithiquement sur silicium et leurs associations pour des applications de conversion d'énergie

Aiguilleurs de courant intégrés monolithiquement sur silicium et leurs associations pour des applications de conversion d'énergie Aiguilleurs de courant intégrés monolithiquement sur silicium et leurs associations pour des applications de conversion d'énergie ABDELILAH EL KHADIRY ABDELHAKIM BOURENNANE MARIE BREIL DUPUY FRÉDÉRIC RICHARDEAU

Plus en détail

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique Architecture d ordinateur : introduction Dimitri Galayko Introduction à l informatique, cours 1 partie 2 Septembre 2014 Association d interrupteurs: fonctions arithmétiques élémentaires Elément «NON» Elément

Plus en détail

Logique séquentielle

Logique séquentielle Bascules et logique séquentielle aniel Etiemble de@lri.fr Logique séquentielle Logique séquentielle Le système a des «états» ans un système séquentiel Éléments de mémorisation Les sorties dépendent des

Plus en détail

LES MÉMOIRES FLASH : ENTRE MÉMOIRE VIVE ET MÉMOIRE DE STOCKAGE. Etienne Nowak 12 mars 2015. Etienne Nowak - 12 mars 2015 - GIS-SPADON

LES MÉMOIRES FLASH : ENTRE MÉMOIRE VIVE ET MÉMOIRE DE STOCKAGE. Etienne Nowak 12 mars 2015. Etienne Nowak - 12 mars 2015 - GIS-SPADON LES MÉMOIRES FLASH : ENTRE MÉMOIRE VIVE ET MÉMOIRE DE STOCKAGE Etienne Nowak 12 mars 2015 PRÉSENTATION ETIENNE NOWAK

Plus en détail

Circuits intégrés micro-ondes

Circuits intégrés micro-ondes Chapitre 7 Circuits intégrés micro-ondes Ce chapitre sert d introduction aux circuits intégrés micro-ondes. On y présentera les éléments de base (résistance, capacitance, inductance), ainsi que les transistors

Plus en détail

EMETTEUR ULB. Architectures & circuits. Ecole ULB GDRO ESISAR - Valence 23-27/10/2006. David MARCHALAND STMicroelectronics 26/10/2006

EMETTEUR ULB. Architectures & circuits. Ecole ULB GDRO ESISAR - Valence 23-27/10/2006. David MARCHALAND STMicroelectronics 26/10/2006 EMETTEUR ULB Architectures & circuits David MARCHALAND STMicroelectronics 26/10/2006 Ecole ULB GDRO ESISAR - Valence 23-27/10/2006 Introduction Emergence des applications de type LR-WPAN : Dispositif communicant

Plus en détail

Sur un ordinateur portable ou un All-in-One tactile, la plupart des éléments mentionnés précédemment sont regroupés. 10) 11)

Sur un ordinateur portable ou un All-in-One tactile, la plupart des éléments mentionnés précédemment sont regroupés. 10) 11) 1/ Généralités : Un ordinateur est un ensemble non exhaustif d éléments qui sert à traiter des informations (documents de bureautique, méls, sons, vidéos, programmes ) sous forme numérique. Il est en général

Plus en détail

ELP 304 : Électronique Numérique. Cours 1 Introduction

ELP 304 : Électronique Numérique. Cours 1 Introduction ELP 304 : Électronique Numérique Cours 1 Introduction Catherine Douillard Dépt Électronique Les systèmes numériques : généralités (I) En électronique numérique, le codage des informations utilise deux

Plus en détail

2.1 Le point mémoire statique Le point mémoire statique est fondé sur le bistable, dessiné de manière différente en Figure 1.

2.1 Le point mémoire statique Le point mémoire statique est fondé sur le bistable, dessiné de manière différente en Figure 1. Mémoires RAM 1. LOGIUE STATIUE ET LOGIUE DYNAMIUE Le point mémoire est l élément de base, capable de mémoriser un bit. Il y a deux approches possibles. L approche statique est fondée sur la l'utilisation

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot et Sébastien Viardot Année universitaire 2011-2012 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 C12 Codage des

Plus en détail

VIII- Circuits séquentiels. Mémoires

VIII- Circuits séquentiels. Mémoires 1 VIII- Circuits séquentiels. Mémoires Maintenant le temps va intervenir. Nous avions déjà indiqué que la traversée d une porte ne se faisait pas instantanément et qu il fallait en tenir compte, notamment

Plus en détail

Cours 9. Régimes du transistor MOS

Cours 9. Régimes du transistor MOS Cours 9. Régimes du transistor MOS Par Dimitri galayko Unité d enseignement Élec-info pour master ACSI à l UPMC Octobre-décembre 005 Dans ce document le transistor MOS est traité comme un composant électronique.

Plus en détail

Convertisseurs statiques d'énergie électrique

Convertisseurs statiques d'énergie électrique Convertisseurs statiques d'énergie électrique I. Pourquoi des convertisseurs d'énergie électrique? L'énergie électrique utilisée dans l'industrie et chez les particuliers provient principalement du réseau

Plus en détail

Chapitre 4 : Les mémoires

Chapitre 4 : Les mémoires 1. Introduction: Chapitre 4 : Les mémoires Nous savons que dans un ordinateur toutes les informations : valeur numérique, instruction, adresse, symbole (chiffre, lettre,... etc.) sont manipulées sous une

Plus en détail

EP 2 339 758 A1 (19) (11) EP 2 339 758 A1 (12) DEMANDE DE BREVET EUROPEEN. (43) Date de publication: 29.06.2011 Bulletin 2011/26

EP 2 339 758 A1 (19) (11) EP 2 339 758 A1 (12) DEMANDE DE BREVET EUROPEEN. (43) Date de publication: 29.06.2011 Bulletin 2011/26 (19) (12) DEMANDE DE BREVET EUROPEEN (11) EP 2 339 758 A1 (43) Date de publication: 29.06.2011 Bulletin 2011/26 (21) Numéro de dépôt: 09179459.4 (51) Int Cl.: H04B 1/69 (2011.01) H03K 5/08 (2006.01) H03K

Plus en détail

IFT1215 Introduction aux systèmes informatiques

IFT1215 Introduction aux systèmes informatiques Introduction aux circuits logiques de base IFT25 Architecture en couches Niveau 5 Niveau 4 Niveau 3 Niveau 2 Niveau Niveau Couche des langages d application Traduction (compilateur) Couche du langage d

Plus en détail

Eléments constitutifs et synthèse des convertisseurs statiques. Convertisseur statique CVS. K à séquences convenables. Source d'entrée S1

Eléments constitutifs et synthèse des convertisseurs statiques. Convertisseur statique CVS. K à séquences convenables. Source d'entrée S1 1 Introduction Un convertisseur statique est un montage utilisant des interrupteurs à semiconducteurs permettant par une commande convenable de ces derniers de régler un transfert d énergie entre une source

Plus en détail

La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA)

La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA) La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA) I. L'intérêt de la conversion de données, problèmes et définitions associés. I.1. Définitions:

Plus en détail

1. PRESENTATION DU PROJET

1. PRESENTATION DU PROJET Bac STI2D Formation des enseignants Jean-François LIEBAUT Denis PENARD SIN 63 : Prototypage d un traitement de l information analogique et numérique (PSoC) 1. PRESENTATION DU PROJET Les systèmes d éclairage

Plus en détail

Tout sur l USB L USB (Universal Serial Bus) a été élaboré en 1996 par Intel, Compaq,Digital,IBM,Microsoft,NEC et NorthTelec (USB 1.0).

Tout sur l USB L USB (Universal Serial Bus) a été élaboré en 1996 par Intel, Compaq,Digital,IBM,Microsoft,NEC et NorthTelec (USB 1.0). Tout sur l USB L USB (Universal Serial Bus) a été élaboré en 1996 par Intel, Compaq,Digital,IBM,Microsoft,NEC et NorthTelec (USB 1.0). Deux systèmes munis d un port (entrée-sortie) sont reliés par un câble.

Plus en détail

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits Architecture des ordinateurs TD1 - Portes logiques et premiers circuits 1 Rappel : un peu de logique Exercice 1.1 Remplir la table de vérité suivante : a b a + b ab a + b ab a b 0 0 0 1 1 0 1 1 Exercice

Plus en détail

Fiche technique CPU 314SC/DPM (314-6CG13)

Fiche technique CPU 314SC/DPM (314-6CG13) Fiche technique CPU 314SC/DPM (3146CG13) Données techniques N de commande 3146CG13 Type CPU 314SC/DPM Information générale Note Caractéristiques SPEEDBus Technologie SPEED7 24 x DI, 16 x DO, 8 x DIO, 4

Plus en détail

1 Comment faire un document Open Office /writer de façon intelligente?

1 Comment faire un document Open Office /writer de façon intelligente? 1 Comment faire un document Open Office /writer de façon intelligente? 1.1 Comment fonctionne un traitement de texte?: les balises. Un fichier de traitement de texte (WRITER ou WORD) comporte en plus du

Plus en détail

Leçon 1 : Les principaux composants d un ordinateur

Leçon 1 : Les principaux composants d un ordinateur Chapitre 2 Architecture d un ordinateur Leçon 1 : Les principaux composants d un ordinateur Les objectifs : o Identifier les principaux composants d un micro-ordinateur. o Connaître les caractéristiques

Plus en détail

Organisation des Ordinateurs

Organisation des Ordinateurs Organisation des Ordinateurs Bernard Boigelot E-mail : boigelot@montefiore.ulg.ac.be URL : http://www.montefiore.ulg.ac.be/~boigelot/ http://www.montefiore.ulg.ac.be/~boigelot/cours/org/ 1 Chapitre 1 Les

Plus en détail

Introduction : Les modes de fonctionnement du transistor bipolaire. Dans tous les cas, le transistor bipolaire est commandé par le courant I B.

Introduction : Les modes de fonctionnement du transistor bipolaire. Dans tous les cas, le transistor bipolaire est commandé par le courant I B. Introduction : Les modes de fonctionnement du transistor bipolaire. Dans tous les cas, le transistor bipolaire est commandé par le courant. - Le régime linéaire. Le courant collecteur est proportionnel

Plus en détail

Comme chaque ligne de cache a 1024 bits. Le nombre de lignes de cache contenu dans chaque ensemble est:

Comme chaque ligne de cache a 1024 bits. Le nombre de lignes de cache contenu dans chaque ensemble est: Travaux Pratiques 3. IFT 1002/IFT 1005. Structure Interne des Ordinateurs. Département d'informatique et de génie logiciel. Université Laval. Hiver 2012. Prof : Bui Minh Duc. Tous les exercices sont indépendants.

Plus en détail

MEMOIRES MAGNETIQUES A DISQUES RIGIDES

MEMOIRES MAGNETIQUES A DISQUES RIGIDES MEMOIRES MAGNETIQUES A DISQUES RIGIDES PARTIE ELECTRONIQUE Le schéma complet de FP5 est donnée en annexe. Les questions porterons sur la fonction FP5 dont le schéma fonctionnel de degré 2 est présenté

Plus en détail

QUESTION 1 {2 points}

QUESTION 1 {2 points} ELE4301 Systèmes logiques II Page 1 de 8 QUESTION 1 {2 points} En se servant de paramètres électriques donnés dans le Tableau 1 ci-dessous, on désire déterminer la fréquence d opération du compteur présenté

Plus en détail

Modules d automatismes simples

Modules d automatismes simples Modules d automatismes simples Solutions pour automatiser Modules d'automatismes Enfin, vraiment simple! Un concentré de solution Pour vos petites applications d'automatismes millenium gère : Temporisations

Plus en détail

Manipulations du laboratoire

Manipulations du laboratoire Manipulations du laboratoire 1 Matériel Les manipulations de ce laboratoire sont réalisées sur une carte électronique comprenant un compteur 4-bit asynchrone (74LS93) avec possibilité de déclenchement

Plus en détail

Lecteur de carte à puce LCPM1 SOMMAIRE

Lecteur de carte à puce LCPM1 SOMMAIRE SOMMAIRE I Différents types de cartes p2 1.1- Carte magnétique 1.2- Carte II Les cartes s. p3 2.1- Introduction 2.2- Constitution III Les familles de cartes s. p6 3.1- Les cartes à mémoire simple 3.2-

Plus en détail

Informatique Industrielle Année 2004-2005. Architecture des ordinateurs Note de cours T.Dumartin

Informatique Industrielle Année 2004-2005. Architecture des ordinateurs Note de cours T.Dumartin Informatique Industrielle Année 2004-2005 Architecture des ordinateurs Note de cours T.Dumartin 1 GENERALITES 5 1.1 INTRODUCTION 5 1.2 QU ENTEND-T-ON PAR ARCHITECTURE? 5 1.3 QU EST CE QU UN MICROPROCESSEUR?

Plus en détail

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE ARDUINO DOSSIER RESSOURCE POUR LA CLASSE Sommaire 1. Présentation 2. Exemple d apprentissage 3. Lexique de termes anglais 4. Reconnaître les composants 5. Rendre Arduino autonome 6. Les signaux d entrée

Plus en détail

qwertyuiopasdfghjklzxcvbnmqwerty uiopasdfghjklzxcvbnmqwertyuiopasd fghjklzxcvbnmqwertyuiopasdfghjklzx cvbnmqwertyuiopasdfghjklzxcvbnmq

qwertyuiopasdfghjklzxcvbnmqwerty uiopasdfghjklzxcvbnmqwertyuiopasd fghjklzxcvbnmqwertyuiopasdfghjklzx cvbnmqwertyuiopasdfghjklzxcvbnmq qwertyuiopasdfghjklzxcvbnmqwerty uiopasdfghjklzxcvbnmqwertyuiopasd fghjklzxcvbnmqwertyuiopasdfghjklzx COURS DE PERFECTIONNEMENT cvbnmqwertyuiopasdfghjklzxcvbnmq L ordinateur et Windows 2014-2015 wertyuiopasdfghjklzxcvbnmqwertyui

Plus en détail

Carte Relais GSM (Manuel Utilisateur)

Carte Relais GSM (Manuel Utilisateur) Carte Relais GSM (Manuel Utilisateur) Carte Relais GSM Introduction Cette carte est une véritable centrale de télécommande et d alarme par GSM. Elle se connecte par un port série à un modem GSM compatible

Plus en détail

CONVERTISSEURS NA ET AN

CONVERTISSEURS NA ET AN Convertisseurs numériques analogiques (xo Convertisseurs.doc) 1 CONVTIU NA T AN NOT PLIMINAI: Tous les résultats seront exprimés sous formes littérales et encadrées avant les applications numériques. Les

Plus en détail

Equipement. électronique

Equipement. électronique MASTER ISIC Les générateurs de fonctions 1 1. Avant-propos C est avec l oscilloscope, le multimètre et l alimentation stabilisée, l appareil le plus répandu en laboratoire. BUT: Fournir des signau électriques

Plus en détail

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd UE 503 L3 MIAGE Initiation Réseau et Programmation Web La couche physique A. Belaïd abelaid@loria.fr http://www.loria.fr/~abelaid/ Année Universitaire 2011/2012 2 Le Modèle OSI La couche physique ou le

Plus en détail

Série 77 - Relais statiques modulaires 5A. Caractéristiques. Relais temporisés et relais de contrôle

Série 77 - Relais statiques modulaires 5A. Caractéristiques. Relais temporisés et relais de contrôle Série 77 - Relais statiques modulaires 5A Caractéristiques 77.01.x.xxx.8050 77.01.x.xxx.8051 Relais statiques modulaires, Sortie 1NO 5A Largeur 17.5mm Sortie AC Isolation entre entrée et sortie 5kV (1.2/

Plus en détail

Guide Mémoire NETRAM

Guide Mémoire NETRAM Guide Mémoire NETRAM Types de mémoires vives On distingue généralement deux grandes catégories de mémoires vives : Mémoires dynamiques (DRAM, Dynamic Random Access Module), peu coûteuses. Elles sont principalement

Plus en détail

Présenté par : Sous la direction de :

Présenté par : Sous la direction de : ANNEE UNIVERSITAIRE 2006 2007 LAYOUT DE SWITCHS RF STAGE EFFECTUE A ST MICROELECTRONICS GRENOBLE Rapport de stage de licence professionnelle EISI option microélectronique microsystèmes Présenté par : Sous

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2008-2009 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques Pentium4 Présentation du simulateur VHDL sous environnement Cadence Présentation de l outil Synopsys

Plus en détail

Description du logiciel Modbus RTU

Description du logiciel Modbus RTU Description du logiciel Modbus RTU V.1.0 Actualisée 04/2014 Page 1 de 57 Description du logiciel Modbus RTU Description du logiciel Modbus RTU V.1.0 Actualisée 04/2014 Page 2 de 57 Sommaire Description

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2011-2012 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques WIDEMACV1 LAAS-CNRS 2011 Présentation du simulateur VHDL sous environnement Cadence Présentation

Plus en détail

Le transistor bipolaire

Le transistor bipolaire IUT Louis Pasteur Mesures Physiques Electronique Analogique 2ème semestre 3ème partie Damien JACOB 08-09 Le transistor bipolaire I. Description et symboles Effet transistor : effet physique découvert en

Plus en détail

CARTES A PUCE. Pascal Urien - Cours cartes à puce 2010-24/06/10 Page 1

CARTES A PUCE. Pascal Urien - Cours cartes à puce 2010-24/06/10 Page 1 CARTES A PUCE Page 1 Table des matières I- Aperçu de la carte à puce.... 3 Historique... 3 Les marchés.... 4 La technologie des cartes à puce... 5 Les cartes à mémoire.... 5 Les cartes à microprocesseurs....

Plus en détail

BCI - TPSP - Processeurs et Architectures Numériques

BCI - TPSP - Processeurs et Architectures Numériques BCI - TPSP - Processeurs et Architectures Numériques Jean-Luc Danger Guillaume Duc Tarik Graba Philippe Matherat Yves Mathieu Lirida Naviner Alexis Polti Jean Provost c 2002-2011 groupe SEN, Télécom ParisTech

Plus en détail

Université de La Rochelle. Réseaux TD n 6

Université de La Rochelle. Réseaux TD n 6 Réseaux TD n 6 Rappels : Théorème de Nyquist (ligne non bruitée) : Dmax = 2H log 2 V Théorème de Shannon (ligne bruitée) : C = H log 2 (1+ S/B) Relation entre débit binaire et rapidité de modulation :

Plus en détail

NPIH800 GENERATION & RESEAUX. PROTECTION de COURANT TERRE

NPIH800 GENERATION & RESEAUX. PROTECTION de COURANT TERRE GENERATION & RESEAUX PROTECTION de COURANT TERRE NPIH800 assure la protection à maximum de courant terre des réseaux électriques de moyenne et haute tension. Ce relais multifonction surveille les défauts

Plus en détail

LA MESURE INDUSTRIELLE

LA MESURE INDUSTRIELLE E02 LA MESURE INDUSTRIELLE 20 Heures Technicien responsable de la maintenance Approfondir les techniques de mesure; Prendre en compte l aspect métrologie. Connaître les limites et les facteurs d influences

Plus en détail

TP Modulation Démodulation BPSK

TP Modulation Démodulation BPSK I- INTRODUCTION : TP Modulation Démodulation BPSK La modulation BPSK est une modulation de phase (Phase Shift Keying = saut discret de phase) par signal numérique binaire (Binary). La phase d une porteuse

Plus en détail

ANNEXE 5 (1 page) MIC2920x

ANNEXE 5 (1 page) MIC2920x ²² ANNEXE 5 (1 page) MIC2920x Coefficient : 5 DT 7/ 29 ANNEXE 6 (1 page) - ADG 719 Coefficient : 5 DT 8/ 29 ANNEXE 7 (3 pages) - ESDAxxSCy Coefficient : 5 DT 9/ 29 ANNEXE 7 (suite) Coefficient : 5 DT 10/

Plus en détail

THEME 1 : L ORDINATEUR ET SON ENVIRONNEMENT. Objectifs

THEME 1 : L ORDINATEUR ET SON ENVIRONNEMENT. Objectifs Architecture Matérielle des Systèmes Informatiques. S1 BTS Informatique de Gestion 1 ère année THEME 1 : L ORDINATEUR ET SON ENVIRONNEMENT Dossier 1 L environnement informatique. Objectifs Enumérer et

Plus en détail

Spécifications détaillées

Spécifications détaillées Hewlett Packard HP ProLiant ML110 G5 Serveur micro tour 4U 1 voie 1 x Xeon E3110 / 3 GHz RAM 1 Go Disque dur 1 x 250 Go DVD RW Gigabit Ethernet Moniteur : aucun(e) Le serveur HP ProLiant ML110 G5 offre

Plus en détail

Chapitre 4 : Le transistor Bipolaire

Chapitre 4 : Le transistor Bipolaire LEEA 3 ème A, C. TELLIER, 28.08.04 1 Chapitre 4 : Le transistor Bipolaire 1. Structure et description du fonctionnement 1.1. Les transistors bipolaires 1.2 Le transistor NPN Structure intégrée d'un transistor

Plus en détail

W 12-2 : haute performance et savoir-faire compact

W 12-2 : haute performance et savoir-faire compact Barrières W - Détecteurs réflex, élimination de premier plan EPP Détecteurs réflex, élimination d arrière-plan EAP W - : haute performance et savoir-faire compact Détecteurs réflex énergétiques fibres

Plus en détail

Quartz et Oscillateurs

Quartz et Oscillateurs Quartz et Oscillateurs Catalogue résumé Quartz HC 49U - Caractéristiques standard (autres spécifications sur demande : gamme de fréquence, tolérance, etc.) Fréquences : 1 à 200 MHz Tolérance à 25 C : 50

Plus en détail

Relais statiques SOLITRON MIDI, Commutation analogique, Multi Fonctions RJ1P

Relais statiques SOLITRON MIDI, Commutation analogique, Multi Fonctions RJ1P Relais statiques SOLITRON MIDI, Commutation analogique, Multi Fonctions RJ1P Relais statique CA Multi fonctions - 5 sélections de modes de fonctionnement: angle de phase, trains d ondes distribuées et

Plus en détail

Prise en main. Prise en main - 0

Prise en main. Prise en main - 0 Prise en main 0404 Prise en main - 0 1- Introduction Creative Professional Merci d avoir choisi le Digital Audio System 0404 d E-MU. Nous avons conçu ce produit E-MU pour qu il soit logique, intuitif et

Plus en détail

Architecture des ordinateurs

Architecture des ordinateurs Décoder la relation entre l architecture et les applications Violaine Louvet, Institut Camille Jordan CNRS & Université Lyon 1 Ecole «Découverte du Calcul» 2013 1 / 61 Simulation numérique... Physique

Plus en détail

KL5121. Pour activer des sorties en fonction de la position d'un codeur

KL5121. Pour activer des sorties en fonction de la position d'un codeur KL5121 Pour activer des sorties en fonction de la position d'un codeur VERSION : 1.0 / PH DATE : 07 Février 2006 Sommaire Ce manuel explique de manière pratique les étapes successives pour mettre en œuvre

Plus en détail

CHAPITRE IX. Modèle de Thévenin & modèle de Norton. Les exercices EXERCICE N 1 R 1 R 2

CHAPITRE IX. Modèle de Thévenin & modèle de Norton. Les exercices EXERCICE N 1 R 1 R 2 CHPITRE IX Modèle de Thévenin & modèle de Norton Les exercices EXERCICE N 1 R 3 E = 12V R 1 = 500Ω R 2 = 1kΩ R 3 = 1kΩ R C = 1kΩ E R 1 R 2 U I C R C 0V a. Dessiner le générateur de Thévenin vu entre les

Plus en détail

GUIDE DE L UTILISATEUR

GUIDE DE L UTILISATEUR GUIDE DE L UTILISATEUR 9344 - Version 1.0 Janvier 2009 1 - Instructions de sécurité Toute personne ayant à faire avec le montage, la mise en service, le maniement et l'entretien de cet appareil doit être

Plus en détail

Limitations of the Playstation 3 for High Performance Cluster Computing

Limitations of the Playstation 3 for High Performance Cluster Computing Introduction Plan Limitations of the Playstation 3 for High Performance Cluster Computing July 2007 Introduction Plan Introduction Intérêts de la PS3 : rapide et puissante bon marché L utiliser pour faire

Plus en détail

DAC. avec interface USB audio et préampli stéréo Casque CONVERTISSEUR DIGITAL VERS ANALOGIQUE. Guide d utilisation V1.1 Jan 2011

DAC. avec interface USB audio et préampli stéréo Casque CONVERTISSEUR DIGITAL VERS ANALOGIQUE. Guide d utilisation V1.1 Jan 2011 réf 2080 avec interface USB audio et préampli stéréo Casque CONVERTISSEUR DIGITAL VERS ANALOGIQUE Guide d utilisation V1.1 Jan 2011 DAC NTRODUCTION Merci d'avoir acheté ce DAC (convertisseur audio numérique-analogique)

Plus en détail

La carte à puce. Jean-Philippe Babau

La carte à puce. Jean-Philippe Babau La carte à puce Jean-Philippe Babau Département Informatique INSA Lyon Certains éléments de cette présentation sont issus de documents Gemplus Research Group 1 Introduction Carte à puce de plus en plus

Plus en détail

NOTICE D UTILISATION ET D INSTALLATION. de la CARTE MONITORING DE RELAIS «IO-MONITOR»

NOTICE D UTILISATION ET D INSTALLATION. de la CARTE MONITORING DE RELAIS «IO-MONITOR» NOTICE D UTILISATION ET D INSTALLATION de la CARTE MONITORING DE RELAIS «IO-MONITOR» Z.A.C. de la Plaine 1 rue Brindejonc des moulinais B.P. 45804 31505 TOULOUSE CX 5 Tél. : 05.61.36.03.03 Fax : 05.61.36.03.00

Plus en détail

Présentation du système informatique utilisé et éléments d architecture des ordinateurs

Présentation du système informatique utilisé et éléments d architecture des ordinateurs TP informatique PTSI-PT Semestre 1 Lycée Gustave EIFFEL, BORDEAUX Présentation du système informatique utilisé et éléments d architecture des ordinateurs GL, SV, VB Objectif(s) Se familiariser aux principaux

Plus en détail

La technologie Java Card TM

La technologie Java Card TM Présentation interne au CESTI La technologie Java Card TM sauveron@labri.u-bordeaux.fr http://dept-info.labri.u-bordeaux.fr/~sauveron 8 novembre 2002 Plan Qu est ce que Java Card? Historique Les avantages

Plus en détail

Choix d'un serveur. Choix 1 : HP ProLiant DL380 G7 Base - Xeon E5649 2.53 GHz

Choix d'un serveur. Choix 1 : HP ProLiant DL380 G7 Base - Xeon E5649 2.53 GHz Choix d'un serveur Vous êtes responsable informatique d'une entreprise. Vous devez faire un choix pour l'achat d'un nouveau serveur. Votre prestataire informatique vous propose les choix ci-dessous Vous

Plus en détail

AMICUS 18 (2ème partie) 4) Présentation du logiciel Amicus IDE

AMICUS 18 (2ème partie) 4) Présentation du logiciel Amicus IDE AMICUS 18 (2ème partie) Dans la première partie, nous avions présenté la platine Amicus 18 et nous avions réalisé quelques montages simples. Nous allons découvrir un peu mieux la programmation. Dans la

Plus en détail

Guide d'utilisateur. Câble adaptateur USB2.0 vers IDE et SATA. Modèle : DA-70202

Guide d'utilisateur. Câble adaptateur USB2.0 vers IDE et SATA. Modèle : DA-70202 Guide d'utilisateur Câble adaptateur USB2.0 vers IDE et SATA Modèle : DA-70202 I. Avant-propos Nous vous remercions d'avoir acheté notre produit! Nous avons voulu innover dans le stockage tout en offrant

Plus en détail

SECURIT GSM Version 2

SECURIT GSM Version 2 EOLE informatique SECURIT GSM Version 2 Notice d installation & Guide utilisateur Eole informatique 42 rue Claude Decaen -75012 Paris Tél. 01.43.43.00.97 www.eole-informatique.com 15/03/2006 SOMMAIRE Notice

Plus en détail

TD Architecture des ordinateurs. Jean-Luc Dekeyser

TD Architecture des ordinateurs. Jean-Luc Dekeyser TD Architecture des ordinateurs Jean-Luc Dekeyser Fiche 1 Nombres de l informatique Exercice 1 Une entreprise désire réaliser la sauvegarde de ses données sur un site distant. Le volume de données à sauvegarder

Plus en détail

BD 302 MINI. Etage de puissance pas à pas en mode bipolaire. Manuel 2059-A003 F

BD 302 MINI. Etage de puissance pas à pas en mode bipolaire. Manuel 2059-A003 F BD 302 MINI Etage de puissance pas à pas en mode bipolaire Manuel 2059-A003 F phytron BD 302 MINI Etage de puissance pas à pas en mode bipolaire Manuel 2059-A003 F Manuel BD 302 MINI 2002 Tous droits

Plus en détail

CONFÉRENCE ET INTERPRÉTATION

CONFÉRENCE ET INTERPRÉTATION CONFÉREE ET INTERPRÉTATION Systèmes pour conférences et interprétation simultanée Sennheiser France dispose d'un département Étude systèmes. À partir des besoins identifiés avec les décisionnaires, il

Plus en détail

Relais statiques SOLITRON, 1 ou 2 pôles Avec dissipateur intégré

Relais statiques SOLITRON, 1 ou 2 pôles Avec dissipateur intégré Relais statiques SOLITRON, 1 ou 2 pôles Avec dissipateur intégré Relais statique CA, 1 ou 2 pôles Commutation au zéro de tension pour applications de chauffage et de moteur (RN1A) Commutation instantanée

Plus en détail

CENTRALE DE SURVEILLANCE EMBARQUEE MULTIMEDIA

CENTRALE DE SURVEILLANCE EMBARQUEE MULTIMEDIA CENTRALE DE SURVEILLANCE EMBARQUEE MULTIMEDIA Fonctions principales : Ordinateur multimédia embarqué sous Linux 2.6 Enregistreur audio-vidéo 4 canaux 8 Mbps, Full HD 1920x1080p, 4 caméras simultanées,

Plus en détail

PRECISION - REJET DE PERTURBATIONS T.D. G.E.I.I.

PRECISION - REJET DE PERTURBATIONS T.D. G.E.I.I. PRECISION - REJET DE PERTURBATIONS T.D. G.E.I.I.. Donner les erreurs en position, en vitesse et en accélération d un système de transfert F BO = N(p) D(p) (transfert en boucle ouverte) bouclé par retour

Plus en détail

NUGELEC. NOTICE D'UTILISATION DU B.A.A.S. du type Ma - STI / MaME - STI. pages. 1 introduction 2. 2 encombrement 3 fixation

NUGELEC. NOTICE D'UTILISATION DU B.A.A.S. du type Ma - STI / MaME - STI. pages. 1 introduction 2. 2 encombrement 3 fixation NOTICE D'UTILISATION DU du type Ma - / MaME - pages 1 introduction 2 2 encombrement 3 fixation 3 installation 3 à 5 raccordement 4 fonctionnement 5 à 6 contrôle, essai 5 caractéristiques 7 techniques 6

Plus en détail

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE QCM Remarque : - A une question correspond au moins 1 réponse juste - Cocher la ou les bonnes réponses Barème : - Une bonne réponse = +1 - Pas de réponse = 0

Plus en détail

Le multiplexage. Sommaire

Le multiplexage. Sommaire Sommaire Table des matières 1- GENERALITES... 2 1-1 Introduction... 2 1-2 Multiplexage... 4 1-3 Transmission numérique... 5 2- LA NUMERATION HEXADECIMALE Base 16... 8 3- ARCHITECTURE ET PROTOCOLE DES RESEAUX...

Plus en détail

Cours Informatique 1. Monsieur SADOUNI Salheddine

Cours Informatique 1. Monsieur SADOUNI Salheddine Cours Informatique 1 Chapitre 2 les Systèmes Informatique Monsieur SADOUNI Salheddine Un Système Informatique lesystème Informatique est composé de deux parties : -le Matériel : constitué de l unité centrale

Plus en détail

Les portes logiques. Voici les symboles des trois fonctions de base. Portes AND. Portes OR. Porte NOT

Les portes logiques. Voici les symboles des trois fonctions de base. Portes AND. Portes OR. Porte NOT Les portes logiques Nous avons jusqu ici utilisé des boutons poussoirs et une lampe pour illustrer le fonctionnement des opérateurs logiques. En électronique digitale, les opérations logiques sont effectuées

Plus en détail

Introduction à l électronique de puissance Synthèse des convertisseurs statiques. Lycée Richelieu TSI 1 Année scolaire 2006-2007 Sébastien GERGADIER

Introduction à l électronique de puissance Synthèse des convertisseurs statiques. Lycée Richelieu TSI 1 Année scolaire 2006-2007 Sébastien GERGADIER Introduction à l électronique de puissance Synthèse des convertisseurs statiques Lycée Richelieu TSI 1 Année scolaire 2006-2007 Sébastien GERGADIER 28 janvier 2007 Table des matières 1 Synthèse des convertisseurs

Plus en détail

Description d'une liaison

Description d'une liaison escription d'une liaison I. Constitution d'une liaison...2 II. Modes d'exploitation...2 III. Normalisation des jonctions...2 III.1. Norme V28 (RS232) 3 III.2. Norme V11 (RS422 et RS485) 4 IV. Liaison V24

Plus en détail

SYSTEME D ALARME. Etude d un objet technique : Centrale d alarme. LP Porte d Aquitaine - Thiviers Page 1/13

SYSTEME D ALARME. Etude d un objet technique : Centrale d alarme. LP Porte d Aquitaine - Thiviers Page 1/13 Etude d un objet technique : Centrale d alarme? Page 1/13 Mise en situation : 1/ Présentation du système : Le nombre de cambriolages étant en constante progression, de nombreux établissements publics,

Plus en détail

La Recherche du Point Optimum de Fonctionnement d un Générateur Photovoltaïque en Utilisant les Réseaux NEURO-FLOUS

La Recherche du Point Optimum de Fonctionnement d un Générateur Photovoltaïque en Utilisant les Réseaux NEURO-FLOUS Rev. Energ. Ren. : Chemss 2000 39-44 La Recherche du Point Optimum de Fonctionnement d un Générateur Photovoltaïque en Utilisant les Réseaux NEURO-FLOUS D.K. Mohamed, A. Midoun et F. Safia Département

Plus en détail

Commutateur statique de transfert Liebert La solution incontournable pour vos systèmes d alimentation à haute disponibilité

Commutateur statique de transfert Liebert La solution incontournable pour vos systèmes d alimentation à haute disponibilité Commutateur statique de transfert Liebert La solution incontournable pour vos systèmes d alimentation à haute disponibilité AC Power Systems for Business-Critical Continuity Emerson Network Power est une

Plus en détail

Moteur DC: Comment faire varier sa vitesse?

Moteur DC: Comment faire varier sa vitesse? Moteur DC: Comment faire varier sa vitesse? Zone d'utilisation Moteur à excitation shunt Influence I e Petite perturbation : e.g. augmentation vitesse À partir de P : couple moteur P'' < couple résistant

Plus en détail

CI 1 PROBLEME : Quelles sont les fonctions et les caractéristiques d un environnement informatique?

CI 1 PROBLEME : Quelles sont les fonctions et les caractéristiques d un environnement informatique? Centre d intérêt CI 1 PROBLEME : Quelles sont les fonctions et les caractéristiques d un environnement informatique? MATERIEL : - Fiche Ressources - Feuille réponse TRAVAIL A FAIRE : Complétez la feuille

Plus en détail

Master d'informatique 1ère année Réseaux et protocoles. Couche physique

Master d'informatique 1ère année Réseaux et protocoles. Couche physique Master d'informatique 1ère année Réseaux et protocoles Couche physique Bureau S3-354 Mailto:Jean.Saquet@unicaen.fr http://saquet.users.greyc.fr/m1/rezopro Supports de communication Quelques exemples :

Plus en détail

Architecture des ordinateurs Introduction à l informatique

Architecture des ordinateurs Introduction à l informatique Architecture des ordinateurs Introduction à l informatique 17 septembre 2004 1 2 3 4 5 6 Les interrupteurs... 0V 5V Ce sont des composants électroniques qui laissent pser un courant principal lorsque la

Plus en détail