Support de cours Systèmes logiques Classes : L1-INFO

Dimension: px
Commencer à balayer dès la page:

Download "Support de cours Systèmes logiques Classes : L1-INFO"

Transcription

1 Département Technologies de l Informatique Support de cours Systèmes logiques Classes : L-INFO Enseignant : SAADAOUI Abdelkader Année universitaire : 204/205

2 INTRODUCTION GENERALE Ce support de cours est destiné aux étudiants de la première année Tronc commun (TC) au Département Technologies de l Informatique. Il permet d'analyser, de concevoir et de synthétiser des systèmes combinatoires et séquentiels. Il introduit les bases de l'algèbre booléenne, ainsi que d'électronique numérique. il apprend à implémenter des systèmes combinatoires complexes, en les simplifiant grâce aux règles de l'algèbre booléenne et aux tables de Karnaugh. Par la suite, il expose les systèmes séquentiels, tant sur leur analyse que sur leur synthèse. Enfin, il présente les compteurs et les décompteurs. Ce manuel comporte des travaux dirigés qui favorisent l application directe du cours, et l assimilation de l étude des systèmes combinatoires et séquentiels. Des sujets d examens permettent aux étudiants de s entraîner et de tester leur niveau de connaissance. Dans ce support, certaines figures sont directement tirées du document constructeur à fin de familiariser les étudiants à leurs exploitations. L auteur remercie par avance tous les lecteurs qui lui fait part de leurs critiques et de leurs remarques constructives.

3 FICHE MATIERE : SYSTEMES LOGIUES ELEME T CO STITUTIF (ECUE) Systèmes Logiques Volume horaire Coefficient Crédit Cours TD TP 2 2,25,25 0 Plan : Chapitre : Système de numération Chapitre 2 : Logique combinatoire Chapitre 3 : Circuits combinatoires Chapitre 4 : Circuits séquentiels Echéancier : Semaine Cours Système de numération (décimal, binaire, octal et hexadécimal) Séance Conversion d un nombre d une base b à une base b2. Séance 2 Codage (code BCD et code GRAY) Représentation en complément à et en complément à 2 Distribution TD S3 Opérations arithmétiques S4 Correction TD S5 Porte logique et fonction logique de base. (formes canoniques) S6 Correction Exercice App & Algèbre de BOOL Distribution TD S7 Simplifications des fonctions logiques par la méthode - algébrique - graphique (diagramme de KARNAUGH). S8 Simplifications des fonctions logiques par la méthode graphique (Suite) S9 Correction TD2 Programmer une évaluation. S0 S Circuits combinatoires (codeur et décodeur, multiplexeur, démultiplexeur) S2 Circuits combinatoires (comparateur, demi -additionneur et additionneur complet, transcodeur) S3 Circuits séquentiels (les bascules RS, D, JK et T) S4 Circuits séquentiels (les compteurs et les décompteurs) Moyens et Outils Pédagogiques Condensé du cours. Travaux dirigés.

4 Documentation constructeur. Bibliographie D. Mange, "Analyse et synthèse des systèmes logiques", Vol. V, Traité d'électricité. Lausanne: Presses polytechniques romandes, 987. J. F. Wakerly, "Digital design, 4th edition". Prentice Hall, Evaluations : Le mode de contrôle continue : Devoir de contrôle (de S jusqu à S7) La note personnalisée (NP) : sur la présence, la participation à la correction des exercices et la réalisation des exercices à la maison. Devoir de synthèse

5 SOMMAIRE INTRODUCTION... 2 SOMMAIRE... 5 CHAPITRE I LE SYSTEME DE NUMERATION ET CODAGE I- UELUES DEFINITIONS... 7 II- DECOMPOSITION D UN NOMBRE... 7 III-- LE SYSTEME DE NUMERATION «DECIMAL»... 7 III-2- LES AUTRES BASES DE NUMERATION UTILISEES... 8 IV- CONVERSION ENTRE LES BASES (TRANSCODAGE)... 8 IV-- CONVERSION D UN NOMBRE DECIMAL VERS SON EUIVALENT BINAIRE [(N)0 VERS (N)2]... 8 IV-2- CONVERSION D UN NOMBRE BINAIRE VERS SON EUIVALENT DECIMAL [(N)2 VERS (N)0]... 9 IV-3- CONVERSION D UN NOMBRE DECIMAL VERS SON EUIVALENT OCTAL OU HEXADECIMAL [(N)0 VERS (N)8 OU (N)6]... 9 IV-4- CONVERSION D UN NOMBRE OCTAL OU HEXADECIMAL VERS SON EUIVALENT DECIMAL [(N)8 VERS (N)0 OU (N)6 VERS (N)0 ]... 0 IV-5- CONVERSIONS DIRECTES BINAIRE <=> HEXADECIMAL <=> OCTAL... IV-6- CONVERSION DECIMAL <=> CODE BCD (DECIMAL CODE BINAIRE)... IV-7- LE CODE DE GRAY... IV-8- LES AUTRES CODES... 3 IV-9- REPRESENTATION DES NOMBRES SIGNES EN BINAIRE... 4 V- CALCUL ARITHMETIUES... 5 V-- ADDITION BINAIRE... 5 V-2- SOUSTRACTION BINAIRE... 6 V-3- MULTIPLICATION EN BINAIRE... 7 CHAPITRE II L ALGEBRE DE BOOLE I- INTRODUCTION... 8 II- UELUES DEFINITIONS... 8 III- NOTION DE TABLE DE VERITE... 8 IV- ÉUATION LOGIUE A D UNE SORTIE... 9 V- LES OPERATEURS LOGIUES DE L ALGEBRE DE BOOLE... 9 V-- L OPERATEUR LOGIUE NON (NOT)... 9 V-2- L OPERATEUR LOGIUE OU (OR)... 9 V-3- L OPERATEUR LOGIUE ET (AND) V-4- LES AUTRES OPERATEURS LOGIUES L OPERATEUR LOGIUE NON- OU (NOR) L OPERATEUR LOGIUE ET-NON* (NAND) L OPERATEUR LOGIUE OU-EXCLUSIF (XOR)... 2 VI- THEOREMES DE ALGEBRE DE BOOLE... 2 VI-- PROPRIETES DES OPERATEURS LOGIUES (PROPRIETES DE L ALGEBRE DE BOOLE) VI-2- THEOREMES DE DE MORGAN V- LOGIUE COMBINATOIRE V-- ERE METHODE (METHODE ALGEBRIUE) V-- 2EME METHODE (METHODE GRAPHIUE) CHAPITRE III LES CIRCUITS LOGIUES COMBINATOIRES I- INTRODUCTION II- LES CIRCUITS COMBINATOIRES... 26

6 II-- LES MULTIPLEXEURS II-2- DEMULTIPLEXEUR II-3- ENCODEUR BINAIRE II-4- DECODEUR II-5- DEMI ADDITIONNEUR II-5- ADDITIONNEUR COMPLET UN BIT II-5- COMPARATEUR UN BIT... 3 II-6- TRANSCODEUR CHAPITRE IV LES CIRCUITS SEUENTIELS I- INTRODUCTION II- LES ELEMENTS DE MEMORISATION LES BASCULES II-- LES ENTREES DE FORÇAGE II-2- LA BASCULE ASYNCHRONE RS II-3- LES BASCULES SYNCHRONES A- TYPES DE SYNCHRONISATION B- BASCULE RS C- BASCULE D D- BASCULE JK E- BASCULE T III- LES COMPTEURS III-- IDENTIFICATION DE LA FONCTION COMPTAGE III-2- COMPTEURS ASYNCHRONES... 4 III-3- COMPTEURS SYNCHRONES CHAPITRE V BIBLIOGRAPHIE... 46

7 Le système de Numération et Codage. I- uelques définitions : BIT : C'est la plus petite unité d'information manipulable par une machine numérique. Un bit ne peut prendre que deux états 0 ou. Ex : le nombre binaire 000 est constitué de 5 bits. DIGIT : "DIGital unit", élément d'information numérique désignant en réalité un simple chiffre de base quelconque. Ex : Les nombre 546 est constitué de 3 digits. POIDS D UN DIGIT : La valeur de chaque digit dépend de sa position. Les positions des digits d'un nombre écrit en base B ont pour poids des puissances de B. MOT : Un MOT est l association de plusieurs digits ou bits. un mot de 8 bits s appelle un octet; ex : 00 un mot de 4 bits s appelle un quartet; ex : 0 BASE : Une base B contient B symboles différents pour écrire tous les nombres. On trouve par exemple les bases suivantes : Décimal (Base 0) Binaire (Base 2) Hexadécimal (Base 6) Octal (Base 8) les Valeurs de la base 0 [0 symboles]: alors que les Symboles en base 6 [6 symboles]: A B C D E F II- Décomposition d un nombre Un nombre est représenté par la somme de ces digits multipliés par leur poids respectifs. Les nombres tels que nous les utilisons sont, en réalité, une convention d'écriture. Tout nombre entier positif peut s'écrire sous la forme d'un polynôme arithmétique comme suit :. N=a n xb n +a n- xb n- + +a xb +a 0 xb 0 Où B est la base, a est le chiffre de rang n et n représente le poids.

8 III-- Le système de numération «Décimal» Un système décimal que nous employons couramment utilise 0 chiffres : 0,, 2, 3, 4, 5, 6, 7, 8, 9. On l'appelle système à base 0. La base 0 (décimal) est universellement employée par l homme depuis qu il sait compter sur ses doigts (0 doigts ) Dans ce système, un nombre peut être décomposé en puissance de 0. - au premier rang (rang de niveau 0) : le poids est de (ou 0 0 ), - au deuxième rang (rang de niveau ) : le poids est de 0 (ou 0 ), - et au troisième rang (rang de niveau 2) le poids est de 00 (ou 0 2 ). Le poids est la puissance nième de 0 (0n) si on numérote les rangs de droite à gauche et en commençant par le rang n 0. Exemple : 938= 9x x0 + 8x0 0 Un nombre réel peut être écrit souss la forme polynomiale : 43,25 0 = * *0 + 3* * *0-2. III-2- Les autres bases de numération utilisées : La base 2 (binaire) est employée pour traduire les états d un système logique [0 ou, tout ou rien, juste ou faux ] Exemple : La base 8 (octal) tend aujourd hui à disparaître au profit de la base 6 suite à l évolution technologique des composants (6 bits et +) La base 6 (hexadécimal) est apparue avec la logique microprogrammée et les microprocesseurs. Elle permet de traduire plus facilement un nombre binaire et autorise une représentation plus conviviale des grands nombres. IV- Conversion entre les bases (transcodage) IV-- Conversion d un nombre décimal vers son équivalent binaire [(N) 0 vers (N) 2 ] La méthode consiste à répéter la division par 2 du nombre décimal à convertir et au report des restes jusqu à ce que le quotient soit 0. Le nombre binaire résultant s obtient en écrivant le premier reste à la position du bit de poids le plus faible (LSB = Least Significant Bit) et le dernier à la position du bit de poids le plus fort (MSB = Most Significant Bit). Exemple : conversion du nombre décimal 9 en binaire (9) 0 = ( ) 2? LSB 9 2

9 => on arrête MSB D où (9) 0 = (00) 2 Application : uel est le code binaire correspondant à (65) 0 et (4) 0? (65) 0 = ( ) 2 (4)0 = ( ) 2 Remarque (cas d un nombre réel) : Un nombre réel est constitué de deux parties : la partie entière et la partie fractionnelle. La partie entière est transformée en effectuant des divisions successives par la base b. La partie fractionnelle est transformée en effectuant des multiplications successives par la base b. Exemple : 35,625 0 = (? ) 2 Pour 35 0 = (000) 2 mais pour 0,625 0 on fait comme suit : On aura 0,625 0 = (0) 2 ainsi 35,625 0 = (000,0) 2 IV-2- Conversion d un nombre binaire vers son équivalent décimal [(N) 2 vers (N) 0 ] Il s agit ici d appliquer la formule en prenant B= 2. (0) 2 = x2 3 + x x2 + x2 0 = x8 + x4 + 0x2 + x = (3) 0 (0,) 2 = x2 3 + x x2 + x2 0 + x2 - + x2-2 = x8 + x4 + 0x2 + x+ x0.5 + x0.25 = (3.75) 0 Application: uel est le code décimal correspondant à ( )2 et (00 00)2? ( )2 = ( ) 0 (00 00)2 = ( ) 0 IV-3- Conversion d un nombre décimal vers son équivalent octal ou hexadécimal [(N) 0 vers (N) 8 ou (N) 6 ] Il s agit ici d appliquer la même méthode que pour le passage du décimal vers le binaire en divisant

10 Exemple : (43) 0 = (?) 2 = (?) 8 = (?) 6 Ainsi : (43) 0 = (00) 2 = (53) 8 = (2B) 6 Application : Convertir les nombres suivants : (0,52)0 = ( ) 8 (54,8)0 = ( ) 6 IV-4- Conversion d un nombre octal ou hexadécimal vers son équivalent décimal [(N) 8 vers (N) 0 ou (N) 6 vers (N) 0 ] Il s agit ici d appliquer la même méthode que celle pour le passage d un nombre binaire en décimal pour respectivement les bases B=8 ou B=6. Exercice : Convertir les nombres suivants : (02,7) 8 = ( ) 0 (62,F) 6 = ( ) 0 méthode récapitulative à employer pour le transcodage Base de départ Décimal Binaire Octal Hexadécimal Base d arrivée Binaire Octal Hexadécimal Décimal Méthode de transcodage Méthode de la division par 2 du nombre Méthode de la division par 8 du nombre Méthode de la division par 6 du nombre (N)0= an x B n + an- x B n a x B + ao x B 0 avec B=2 (N)0= an x B n + an- x B n a x B + ao x B 0 avec B=8 (N)0= an x B n + an- x B n a x B + ao x B 0 avec B=6 IV-5- Conversions directes binaire <=> hexadécimal <=> octal La conversion de la base 2 à la base 6 (et inversement) se fait aisément, on divise le nombre binaire en tranches de 4 bits (à partir du LSB). Chacun des quartets est ensuite converti en un digit hexadécimal par simple sommation pondérée. la base 6 (2 4 = 6 ) étant un multiple entier de la base 2. Elle permet de représenter sous une forme réduite un nombre binaire. Alors que la conversion de la base 2 à la base 8 (et inversement) se fait par regroupement de 3 bits. Méthode :

11 Exemple : ( ) 2 = (E35) 6 Exemple 2 : (D4C7) 6 = D 4 C = ( ) 2 ( 00 0) 2 = (45) 8 (0 00) 2 = (65) 6 (000) 2 = (256) 8 = (AE) 6 Remarque : pour un nombre avec virgule, le regroupement se fait de droite à gauche pour la partie entière et de gauche à droite pour la partie fractionnelle. exemple : (0,00) 2 = (5,6) 8 = (D,C) 6 (275,5) 8 = (00 0, 00 0) 2 (FA, B) 6 = ( 00, 0) 2 IV-6- Conversion Décimal <=> code BCD (Décimal Codé Binaire) Le code BCD est utilisé pour les afficheurs lumineux, son principe repose sur le codage de chaque digit décimal (chiffre) en son équivalent en binaire sur 4 bits (et inversement). Exemple : ( 2 7) 0 = ( ) BCD Exercice : Effectuer les transcodages suivants : (5 7 6) 0 = ( ) BCD (9 9) 0 = ( ) BCD ( ) BCD = ( ) 0 Exercice : Combien faut-il de bits pour représenter un nombre décimal de 5 chiffres dans le code BCD? IV-7- Le code de GRAY Le «code à distance unité» ou code de Gray, également appelé code reflex ou code binaire réfléchi, est un code non pondéré. Ce code permet de ne faire changer qu un seul digit à la fois lorsqu on passe d un mot binaire au mot binaire immédiatement inférieur ou supérieur ou tout autre mot symétrique, utilisé dans l écriture des tableaux de Karnaugh (c est pour plus tard ). Le nom du code vient de l'ingénieur Frank Gray en 953. Ce code est fréquemment utilisé dans les capteurs de positions, mais aussi lorsque l on désire une

12 progression numérique binaire sans parasite transitoire. En effet, si on utilise le code binaire standard, lors du passage de la position un (0) à deux (0), il y a un risque de passage transitoire re par trois () ou zéro (00), ce qu'évite le code de Gray. Principe d obtention du code GRAY : Exemple : (0) 2 = (0) réfléch hi Remarque : si le nombre en binaire naturel B i B i- = (0 ou 0) alors le nombre en code GRAY =, si ou 00 alors 0. Donc toujours on suit une comparaison horizontale. Cette conversion commence à l apparition du premier à gauche du nombre en binaire naturel. Décimal Binaire Code Gray Pour le passage du code Gray vers le code binaire naturel : Exemple : (0) réfléchi = (00) 2 Cette conversion commence à l apparition du premier à gauche du nombre en code Gray. on suit une comparaison diagonale entre B i et G i-

13 IV-8- Les autres codes : Le code ASCII L abréviation américaine : American Standard Code Interchange Information, normalisé en 967, il propose un jeu de 28 caractères (7 bits) nécessité de trasmettredes messages, il est Limité à la langue anglaise : dépourvue de toute décoration (accent.) et non adapté a d autres langue (chinois ) chaque caractère étant codé par un mot de 7 bits, il ne contient donc pas de caractères accentués, ni de caractères spécifiques à une langue. Puis il a été étendu sur 8 bits (un octet) pour pouvoir coder plus de caractères (c'est-à-dire 256 caractères possibles). Ce code est très répandu dans le milieu informatique. Unicode : Le système Unicode permet de représenter n'importe quel caractère par un code sur 6 bits, indépendamment de tout système d'exploitation ou langage de programmation. Ce code est développé en 99 pour coder des caractères sur (6 bits ou 32 bits ), et il est mis à jour régulièrement. Il regroupe ainsi la quasi-totalité des alphabets existants (arabe, arménien, cyrillique, grec, hébreu, latin,...) et est compatible avec le code ASCII. Le Code Barre Ce principe de codage, apparu dans les années 80, est largement utilisé sur les produits de grande consommation, car il facilite la gestion des produits. Le marquage comporte un certain nombre de barres verticales ainsi que 3 chiffres : - Le er chiffre désigne le pays d origine : 3 = France, 4 = Allemagne, 0 = U.S.A, Canada etc. - Les cinq suivants sont ceux du code «fabricant», - Les six autres sont ceux du code de l article, - Le dernier étant une clé de contrôle Les barres représentent le codage de ces chiffres sur 7 bits, à chaque chiffre est attribué un ensemble de 7 espaces blancs ou noirs.

14 IV-9- Représentation des nombres signés en binaire Il est indispensable de traiter également des nombres négatifs. Le langage binaire ne connaît pas le signe - (!) Il existe 3 conventions pour exprimer les nombres signés dans le système binaire : Représentation de la valeur et du signe indépendamment (binaire signé), Représentation en complément à, Représentation en complément à 2. Le binaire signé L une des méthodes est de réserver un bit pour indiquer le signe du nombre, d où l appellation de binaire signé. C est une représentation signe/valeur absolue (S/VA) c.-à-d. si on travaille sur n bits, alors le bit du poids fort est utilisé pour indiquer le signe : pour le signe négatif et 0 pour le signe positif. Les autres bits (n -) désignent la valeur absolue du nombre. Le bit réservé au signe est toujours le bit le plus à gauche. (attention : Il ne correspond plus au MSB!) Pour le bit de signe et par convention, le 0 représente le + et le le -. Exemple : (-23) 0 = ( 000) 2 ; (+23) 0 = (0 000) 2 Complément à d un nombre binaire Pour calculer le complément à (CA) d un nombre binaire, il suffit de complémenter chaque bit de ce nombre c'est-à-dire remplacer les par des 0 et les 0 par des. Attention : En notation signé, le bit de signe reste inchangé (ne pas le complémenter). Exemple : (-23) 0 = s écrit ( 000) 2 en binaire signé (ci-dessus) et son complément à est : ( 0000) 2, le bit de signe étant inchangé. Complément à 2 d un nombre binaire Pour calculer le CA2 d un nombre binaire N, on ajoute la valeur au CA de N. (CA2 = CA + ) Exemple : Nombre binaire N (non signé) : N = 00 Complément à de N : (N)CA= 00 Complément à 2 de N : (N)CA2 = 0 Exemple 2 : (-23) 0 = s écrit ( 000) 2 en binaire signé, son complément à est ( 0000) 2, son complément à 2 est ( 000) 2. En résumé, si le bit de poids fort = 0, alors l entier est positif et si le bit de poids fort =, alors l entier est négatif. Exemple : Codage de (57) 0 sur 8 bits par 3 méthodes : (57) 0 = (000) 2 4

15 S/VA : 000 CA : 0000 CA2 : 000 V- Calcul arithmétiques V-- Addition binaire L addition de 2 nombres binaires est parfaitement analogue à l addition de 2 nombres décimaux. Il faut commencer par le bit de poids le plus faible en utilisant : = 0 ; + 0 = ; + = 0 (= 0 + report de sur la gauche) Alors que : + + = (= + report de sur la gauche) Exemple : Opérations arithmétiques en octal Puisque la base 8 contient de 0 à 7 donc le 8 s écrit 0 8, le 9 s écrit 8, le 0 s écrit 2 8 etc. Si la somme est 8 on met dans le résultatt 0 et on retient. Exemple : Opérations arithmétiques en hexadécimal Puisque la base 6 contient de 0 à F donc le 6 s écrit 0 6, le 7 s écrit 6, le 8 s écrit 2 6 etc. Si la somme est 8 on met dans le résultat 2 et on retient. Exemple :

16 V-2- Soustraction binaire : Dans le cas de la soustraction de deux nombres binaires non signés. La soustraction en binaire peut être effectuer comme en décimal. On peut utiliser l algorithme suivant : 0-0 = = (avec un report de à retrancher au chiffre supérieur) - 0 = - = 0 Exemple : opération = = Une autre méthode consiste à faire une addition de deux nombres de signes contraires, deux cas peuvent se présenter : er cas : La grandeur du nombre positif est supérieure à celle du nombre négatif. On peut effectuer la Soustraction en binaire en utilisantt la méthode du CA2. On néglige systématiquement la retenue sur le bit supplémentaire pour déterminer le résultat final A B = A + CA2 (B) Exemple : Effectuer l opération de ( 9-4) sur 5 Bits, en utilisant la représentationn en CA2. Exemple 2: Effectuer les opérations de ( -9-4) et (-9 + 9) sur 5 Bits, en utilisant la représentation en CA2.

17 Le résultat de l addition est négatif (bit de signe = ) pour(-9-4). Ce résultat est écrit sous la forme du complément à 2. V-3- Multiplication en binaire La multiplication en binaire est effectuée comme en décimal. Exemple : 0 2 x 0 2 Remarque : Décalage d'un bit vers la gauche = multiplication par 2 Décalage d'un bit vers la droite = division entière par 2

18 L Algèbre de Boole. I- Introduction : Historique : Georges BOOLE, philosophe et mathématicien anglais, publia en 854 un essai sur les raisonnements logiques portant sur les propositions auxquelles les seules réponses possibles sont oui ou non. L ensemble des opérations découlant de ces propositions forme une structure mathématique, donc une algèbre, appelée «Algèbre de BOOLE». «L algèbre de Boole» se caractérise par l utilisation de variables ne pouvant prendre que deux états distincts. Ces deux états sont représentés par la valeur 0 ou. Ces deux «états logiques» distincts se traduisent généralement par deux «niveaux de tension» distincts : présence ou absence de tension. II- uelques définitions Variable logique : grandeur, représentée par un identificateur (lettre ou nom) qui peut prendre la seule valeur 0 ou. Niveau logique : En électronique, une variable logique est concrétisée par un signal électrique (tension ou courant) qui peut prendre deux niveaux électriques (ou niveaux logiques) - le niveau logique Haut (H) ou High - le niveau logique Bas (L) ou Low Algèbre de BOOLE : Ensemble de variables à 2 états, de valeur, ou état "" (vrai) ou "0" (faux) et muni d'un petit nombre d'opérateurs fondamentaux : NON, ET, OU. III- otion de table de vérité Une table de vérité est une représentation graphique (tableau) faisant connaître la réaction du circuit logique, c est à dire l état de la sortie S en fonction de toutes les combinaisons de valeurs (0 ou ) que peuvent prendre les variables binaires d entrées E, E2,, Ei,,En. Exemple d une table de vérité pour une fonction logique à deux entrées E et E2 et une sortie S. E E 2 S Nombre de variables d entrées : 2 alors que le nombre de combinaisons de valeurs possibles c est 2 2 =4 pour les variables d entrées. 8

19 IV- Équation logique à d une sortie «L équation logique à d une sortie» traduit sous forme d une équation mathématique, le comportement de la sortie de la fonction logique. Elle consiste en l écriture d une équation des cas où la sortie S de la fonction logique est égale à. Exemple : rechercher l équation logique de la sortie la table de vérité est donnée au paragraphe précédent en écrivant les cas où S est à. Remarque : On verra par la suite qu il est parfois possible de simplifier une équation logique. de plus, les symboles utilisés en algèbre de Boole bien qu'en apparence similaire à ceux des mathématiques diffèrent dans leurs significations. Ainsi : le symbole " + " se lit " ou ". En effet l'expression " a + b = " se lit " a ou b égal à ". Cette condition est vérifiée pour a ou pour b (ou pour les deux en même temps) égale à le symbole ". " se lit " et ". En effet l'expression " a. b = " se lit " a et b égal à ". Cette condition est vérifiée pour a et b égal à. (Si l' 'un des deux vaut 0, l'équation n'est pas vérifiée) la variable " " se lit " a barre". Elle prend la valeur opposé de a. Si a = alors = 0 et inversement. V- Les opérateurs logiques de l algèbre de Boole V-- L opérateur logique NON (NOT) Symbole Américain: Table de vérité : Chronogrammes d évolution : E S 0 0 E S t t V-2- L opérateur logique OU (OR) Symbole Américain : Table de vérité et équation logique de la sortie

20 V-3- L opérateur logique ET (AND) Symbole Américain : Table de vérité et équation logique de la sortie : V-4- Les autres opérateurs logiques L opérateur logique NON- OU (NOR) Symbole Américain : Table de vérité et équation logique de la sortie : A noter : «OU-NON» est la bonne traduction de l acronyme «NOR». Car il s agit bien de l opérateur logique «OU» suivi de l opérateur «NON» [et pas le contraire]. Cependant par abus de langage on trouve couramment l expression «NON-OU» dans la littérature technique. L opérateur logique ET-NON* (NAND) Symbole Américain : 20

21 Table de vérité et équation logique de la sortie : * A noter : «ET-NON» est LA bonne traduction de l acronyme «NAND». Car il s agit bien de l opérateur logique «ET» suivi de l opérateur «NON» [et pas le contraire]. Cependant par abus de langage on trouve couramment l expression «NON-ET» dans la littérature technique. L opérateur logique OU-EXCLUSIF (XOR) : Symbole Américain : Table de vérité et équation logique de la sortie : VI- Théorèmes de Algèbre de Boole VI-- Propriétés des opérateurs logiques (propriétés de l algèbre de Boole) Les propriétés suivantes permettent d'effectuer des calculs dans l'algèbre de Boole : 2

22 VI-2- Théorèmes de De Morgan : Historique : Augustus De Morgan, mathématicien britannique, fondateur avec Boole de la logique des classes et des relations. Il a formulé certaines lois du calcul des propositions. Les 2 théorèmes de Morgan : Intérêt : Simplifier et optimiser la conception des structures à base d opérateurs logiques. Exemple : ABC + ABC+ ABCD = AB (C+ C) + ABCD = AB+ ABCD = A ( B+ B (CD)) = A ( B+ CD) = AB+ ACD V- logique combinatoire : Un système est dit "combinatoire" lorsqu à l une combinaison des variables binaires d'entrée correspond une (et une seule) combinaison des variables de sorties. Note : on parle de systèmes combinatoires par opposition aux systèmes séquentiels, dans lesquels les variables de sortie dépendent à la fois des variables d'entrée et de l'état antérieur des variables de sortie. Conception de systèmes de nature combinatoire

23 La réalisation d'un système combinatoire nécessite un cahier des charges détaillantt chaque étape du fonctionnement, de dresser une table de vérité descriptif complet de tous les états binaires. De cette table de vérité on peut tirer une expression booléenne qu'il convient de simplifier afin de réduire la complexité de la réalisation. Il existe plusieurs méthodes d'extraction et de simplification des équations booléennes. Exemple : Schéma d un circuit logique (logigramme) F ( A, B, C) = AB. + BC. A B F V-- ère méthode (méthode algébrique) : Pour chaque variable de sortie figurant sur la table de vérité, on écrit la "somme" logique des lignes ou la variable de sortie prend la valeur.. l expression logique de la fonction est e sous forme de sommes de produits des variables d entrée ( où la sotie a pris ). On l appelle somme dee produit ou première forme canonique de Shannon. Lorsque les états "" sont plus nombreux que les états "0", il est avantageux d'écrire le complément de la somme logique des lignes où la variable de sortie prend la valeur 0. L expression logique de la fonction est donc sous forme de produits de sommes et on parle ainsi de deuxième forme canonique de Shannon. Puis on simplifie l'expression obtenue en utilisant les propriétés de l'algèbre de BOOLE. Exemple : Donner les deuxx formes canoniques de F(A,B,C)? C - Première forme canonique de F ( Somme de produit, somme min termes ). On s intéresse à F=. ( F = R(0,,2,4,5,6) = Σ(0,,2,4,5,6) ) Donc F = A B C + A B C + A B C + A B C + A B C + A B C - Deuxième forme canonique de F (produit de somme, produit des max termes). On s intéresse à F= 0: ( F = P (3,7) = Π(3,7) ) donc F = (A + B +C ).( A + B +C ) V-- 2 ème méthode (méthode graphique) : Dans le cas ou le nombre de variables devient trop important, il est plus avantageux d utiliser une

24 représentation graphique intitulée «Tableau de Karnaugh» permettant de trouver directement une expression simplifiée de l équation de sortie d une fonction logique. Expression simplifiée d une sortie à l aide de la méthode du «Tableau de Karnaugh» Définition : Outil graphique de simplification des équations logiques. Le nombre de case du tableau est égal au nombre de combinaisons possibles pour les entrées soit : C = 2 n Avec - C : nombre de combinaisons. - n : nombre de variables (ou entrées). Exemple de tableau de karnaugh pour 3 et 4 entrées utilisant le code gray : Groupement des cases : Peuvent être réunies les cases adjacentes, contenant des valeurs à condition que le nombre de cases du groupement soit égal à une puissance de 2 (, 2, 4, 8, 6 ). Remarques : - On doit réaliser les plus grands regroupements possibles, - Il est possible de faire des regroupements par symétrie, c'est-à-dire il est possible de faire des regroupements en regroupant les situés de part et d autre des deux axes de symétrie du tableau. - Les peuvent servir à plusieurs regroupements. - Tous les doivent êtres regroupés (au moins par défaut avec eux-mêmes) Les conditions indifférentes : Dans certains cas, nous avons des sorties indéterminés pour certaines conditions d entrées. Principalement parce que ces conditions ne peuvent jamais exister. Dans ce cas, nous complétons notre

25 diagramme K avec des et nous les considérons de la façon qui nous intéresse le mieux. Exemple : F= a Exemple : Chaque combinaison de la table de vérité lui correspond une combinaison de la table de karnaugh. c 0 ab L idée de base est d essayer de regrouper les cases adjacentes qui comportent des ( rassembler les termes adjacents ). De plus, on doit faire des regroupements avec le maximum de cases ( 6,8,4,2 ou ) ainsi S= AB+AC+BC D autre exemples de regroupement dans des tables de karnaugh.

26 Les circuits logiques combinatoires I- Introduction Un circuit combinatoire est un circuit numérique dont les sorties dépendent uniquement des entrées. Il est possible d utiliser des circuits combinatoires pour réaliser d autres circuits plus complexes. Exemples : Demi Additionneur, Décodeur II- Les circuits combinatoires : Additionneur complet, Comparateur, Multiplexeur, Encodeur, II-- Les multiplexeurs : Un multiplexeur est un circuit combinatoire qui permet de sélectionner une information ( bit) parmi 2 n valeurs en entrée Il possède : 2 n entrées d information, Une seulee sortie et N entrées de sélection (commandes) Exemple : Multiplexeur U3 X0 X X2 X3 X4 X5 X6 X7 A B C E 74S5 Y Y 5 6

27 Table de vérité : Équation logique : S = C2. C. C0.( E0) + C2. C. C0( E) + C2. C. C0( E2) + C2. C. C0( E3) + C2. C. C0( E4) + C2. C. C0( E5) + C2. C. C0( E6) + C2. C. C0( E7) Exercice : Simplifier par la méthode algébrique la fonction logique S suivante : S= x.y.z + x.y.z+x.y = x.z + x.y Exercice 2 : Trouver l expression de X et Y du montage suivant : X= A B = A.B+A.B et Y=C.D+C.D = C

28 ) Trouver l expression de Z en fonction de A, B, C et D. (A B).C + (A B).C + (A B).C = (A B) + C II-2- Démultiplexeur : Un démultiplexeur permet de faire passer une information dans l une des sorties selon les valeurs des entrées de commandes. Il joue le rôle inverse d un multiplexeur. Il possède une seule entrée, 2 n sorties et N entrées de sélection ( commandes) Exemple : Démultiplexeur 4 Table de vérité et équation logique : S0= C. C0.( I) S= C. C0.( I) S2= C. C0.( I) S3= C. C0.( I) II-3- Encodeur binaire : Un encodeur binaire possède 2 n entrées et n sortie. Pour chaque combinaison en entrée on va avoir son numéro (en binaire) à la sortie. Exemple : Encodeur binaire 4 2 Table de vérité et équation logique :

29 X = I0. I.( I 2+ I3) Y = I0.( I+. I 2. I3) II-4- Décodeur : Le décodeur est un circuit combinatoire MSI à n entrées et 2 n sorties. Pour une configuration binaire en entrée, une seule sortie est active parmi 2 n. Exemple d un décodeur 3: U2 A B C E E2 E3 Y0 Y Y2 Y3 Y4 Y5 Y6 Y LS38 Il faut noter que ce circuit utilise la logique négative, où une sortie est sélectionnée avec 0 et non et ce pour économiser de l énergie. Le décodeur est souvent utilisé pour l activation des composants ( chip select) dans l environnement des cartes à microprocesseurs. Table de vérité et équation logique : II-5- Demi additionneur : Il possède deux entrées et deux sorties Table de vérité :

30 A B R S Équation logique : R= AB. S = AB. + AB. = A B II-5- Additionneur complet un bit : Il possède trois entrées et deux sorties Table de vérité : Équation logique : Pour construire un additionneur de nombres de n bits, il suffit d utiliser n additionneurs en cascade, la sortie de retenue d un étage correspondant à l entrée de retenue du suivant. S 3 S 2 S S 0 Avec le câblage ci contre, on peut alors additionner 2 mots de quatre bits {A 3 A 2 A A 0 } et {B 3 B 2 B B 0 } Rs + Rs + Rs + Rs +

31 Un additionneur sur 4 bits est un circuit qui permet de faire l addition de deux nombres A et B de 4 bits chacun : A(a 3 a 2 a a 0 ), B(b 3 b 2 b b 0 ). En plus il tient en compte de la retenue entrante. En sortie on va avoir le résultat sur 4 bits ainsi que la retenue ( 5 bits en sortie ) Donc au total le circuit possède 9 entrées et 5 sorties. A4 B4 R3 A3 B3 R2 A2 B2 R A B R0=0 ADD4 ADD3 ADD2 ADD R4 S4 S3 S2 S Exemple : Un additionneur sur 2 bits est un circuit qui permet de faire l addition de deux nombres A et B de 2 bits chacun : A(a 2 a ), B(b 2 b) U C0 A A2 B B S S2 C II-5- Comparateur un bit C est un circuit combinatoire qui permet de comparer entre deux nombres binaire A et B. Il possède 2 entrées : B : sur un bit A : sur un bit Il possède 3 sorties fe : égalité ( A=B) fi : inférieur ( A < B) fs : supérieur (A > B)

32 Table de vérité : Équation logique : Comparateur 2 bits en utilisant des comparateurs bit Il est possible de réaliser un comparateur 2 bits en utilisant des comparateurs bit et des portes logiques. Il faut utiliser un comparateur pour comparer les bits du poids faible et un autre pour comparer les bits du poids fort. Il faut combiner entre les sorties des deux comparateurs utilisés pour réaliser les sorties du comparateur final. Équation logique : ) A=B si A2=B2 et A=B : 2) A>B si A2 > B2 ou (A2=B2 et A>B) : 3) A<B si A2 < B2 ou (A2=B2 et A<B) : fe = (A2 B2).(A B) = fe2.fe fs = A2.B2+ (A2 B2).(A.B) = fs2+ fe2.fs fi = A2.B2+ (A2 B2).(A.B) = fi2+fe2.fi

33 a2 b2 a b Comparateur bit fs2 fe2 fi2 Comparateur bit fs fe fi fs fe fi Exemple : le 7485 est un circuit intégré réalisant une comparaison de deux nombres ayant 4 bits chacun U A0 A A2 A3 B0 B B2 B3 A<B A=B A>B 7485 A<B A=B A>B II-6- Transcodeur : Le transcodeur est un circuit combinatoire qui permet de transformer un code X (sur n bits) en entrée en un code Y (sur m bits) en sortie. Exemple : Un transcodeur BCD-7Segment :

34 On obtient pour le Segment a : Et on fait la même chose pour les autres segments (b,c,d,e,f et g) pour effectuer le câblage correspondant à ces 7 équations U A B C D BI/RBO RBI LT A B C D E F G

35 Les circuits séquentiels I- Introduction : Un circuit combinatoire est un circuit numérique dont les sorties dépendent uniquement des entrées : S = f(e). L état du système ne dépend pas de l état interne du système. Donc, pas de mémoration de l état du système. Un circuit séquentiel est un circuit numérique dont l état à l instant instant t+ est une fonction des entrées en même instant t+ et de l état précédente du système (l instant t) : S t+ =f(e,s t ) les compteurs, mémorisation, les registres à II- Les éléments de mémorisation : les bascules. Les bascules sont des éléments de base des systèmes séquentiels. Elles possèdent deux états stables (0 ou ) et maintiennent leur état tant qu elles ne sont pas sollicitées pour en changer. Ce sont des cellules de mémorisation à bit qui, pour une combinaison d états logiques de leurs entrées, présentent sur leur sortie deux états complémentaires. Ces composants sont utilisés dans les mémoires vives RAM (Random Access Memory). On trouve quatre types de bascules : SR, D, JK et T. II-- Les entrées de forçage : dans les bascules, il y a des entrées de forçage :PRESET et CLEAR qui vont forcer les sorties à prendre des valeurs avec les conditions suivantes : PRE= et CLR=0 alors = mais si PRE=0 et CLR= alors =0 35

36 J CLK S 6 3 J CLK S 0 5 K R K R II-2- La bascule asynchrone RS : Une circuit asynchrone, est une bascule dont la sortie évolue dès lors qu un changement a lieu sur l une des entrées. Les entrées d inscription et d effacement sont notées R (Reset = Effacement) et S (Set = Inscription). On appelle n l état de la sortie avant la modification de l une des variables d entrées et n+ son état après cette modification. Symbole : 36

37 II-3- Les bascules synchrones : a- Types de synchronisation : Dans une bascule synchrone, un signal supplémentaire, l horloge, autorise le changement des sorties de manière statique (synchronisation sur niveau logique 0 ou ) ou dynamique (synchronisation sur front montant ou descendant). Le triangle face à l entrée signifie qu elle est dynamique. Si elle est complémentée, la synchronisation à lieu sur un front descendant. b- Bascule RS : Symbole : (B) Table de vérité : R S n+ 0 0 n invalide Exemple de structure et chronogrammes : 37

38 c- Bascule D : La bascule D est équivalente à une bascule RS pour laquelle on aurait S = D et l état «à éviter» (S,R)=(,) constaté pour les bascules RS est donc supprimé. R= D. Par conséquent, Elle décale dans le temps l état de la sortie d où son appellation D (pour Delay = Retard). n est l état pris par la sortie à l instant t n (avant le front montant) et n+ son état à t n+ (après le front montant). Sur chaque front montant de H, la bascule mémorise l état qu elle voit en D à cet instant (juste avant le front montant). Exemple de structure et chronogrammes d une bascule D Flip Flop : 38

39 d- Bascule JK : Elle possède une entrée de synchronisation et 2 entrées d inscription et d effacement telles que S=J et R=K, avec la combinaison (J,K)=(,) autorisé. Dans ce cas, n = Symbole et table de vérité : +. Exemple de chronogrammes : 39

40 e- Bascule T ou bascule diviseur de fréquence par 2 : Elle possède une seule entrée T (Toggle = bouton = basculer) donnant l ordre à la sortie de changer d état sur chaque front montant du signal H appliqué en T. Symbole et table de vérité : Exemple de chronogramme utilisant le front descendant: III- Les compteurs III-- Identification de la fonction Comptage : La fonction qui s impose pour dénombrer des événements se succédant chronologiquement est le comptage. L opérateur technique assurant cette fonction est le compteur. Exemple : Système de comptage de pièces A chaque coupure du faisceau lumineux par une pièce, une impulsion est générée et appliquée à l entrée du compteur. 40

41 Les compteurs binaires peuvent être classés en deux catégories : les compteurs asynchrones; les compteurs synchrones. De plus on distingue les compteurs réversibles ou compteurs-décompteurs. III-2- compteurs asynchrones: Les compteurs binaires asynchrones utilisent le code binaire naturel pour compter (ou décompter). Généralement, c est un assemblage de n bascules JK ou D, cadencé par un signal d horloge. Ces compteurs sont asynchrones, car seule la première bascule reçoit le signal d'horloge. Toutes les bascules qui suivent celle-ci sont commandées par la bascule précédente, dont le principe de fonctionnement est le suivant : Lorsque les entrées J et K de la bascule JK sont à, la sortie au front d horloge suivant est complémentée (Toggle). La sortie change d état sur un front ascendant d horloge. Exemple d un compteur asynchrone modulo 6:

42 7 U:A 9 U:B 7 U2:A 9 U2:B U:A(CLK) 6 3 J CLK S 0 3 J CLK S J CLK S 0 3 J CLK S 5 5 K R 2 K R 4 5 K R 2 K R Exemple d un décompteur asynchrone modulo 6: 7 U:A 9 U:B 7 U2:A 9 U2:B U:A(CLK) 6 3 J CLK S 0 3 J CLK S J CLK S 0 3 J CLK S 5 5 K R 2 K R 4 5 K R 2 K R Une impulsion (front montant ou descendant selon le circuit) sur l entrée de comptage (appelé aussi H, CLK ou CP) provoque l incrémentation du compteur. Ce nombre est accessible sous forme binaire sur les n sorties des bascules : [n-,, 2,, 0]. Exemple d un Chronogramme d un compteur asynchrone modulo 8 à base de bascules JK : 42

43 Remarque : Compteur à cycle incomplet On peut souhaiter compter jusqu'à un nombre N qui ne soit pas une puissance de 2, par exemple 0 (système décimal). Pour cela on utilise un compteur de n bascules, tel que 2n > N. On lui ajoute un asservissement de l'entrée Clear pour remettre le compteur à zéro tous les N coups. Considérons par exemple un compteur modulo 0. Nous voulons que l'entrée Clear soit à 0 lorsque le compteur atteint 0 0 = Pour cela nous pouvons écrire l'expression logique : En fait dans ce cas particulier nous pouvons simplifier cette relation logique en ne tenant compte que des sorties à dans l'expression binaire de N. En effet il ne peut y avoir ambiguïté : toute combinaison contenant les mêmes sorties à et au moins une autre à correspond à un nombre plus grand que N et ne peut être rencontrée dans la séquence décrite par le compteur. Pour un compteur modulo 0 nous pouvons donc utiliser : ce qui nous conduit au schéma suivant : III-3- Compteurs synchrones Ce sont des compteurs (décompteurs) dont tous les étages (bascules) sont commandés par le même signal d'horloge. Ce mode de fonctionnement permet de limiter la durée des périodes d'instabilité et par conséquent autorise des vitesses de fonctionnement plus élevées qu'en mode asynchrone. Pour faire décrire au compteur une séquence déterminée il faut à chaque impulsion d'horloge définir les entrées synchrones J et K. Pour cela on utilise la table de transition de la bascule J-K. Nous avons déjà 43

44 remarqué que cette table peut se simplifier. En effet, pour chacune des quatre transitions possibles une seule des entrées J ou K est définie. Rien ne nous interdit donc de les mettre dans le même état, c'est-àdire J = K, comme dans une bascule T. Prenons l'exemple d'un compteur synchrone 3 bits fonctionnant selon le code binaire pur. Nous pouvons dresser un tableau précisant les valeurs des entrées J et K permettant d'obtenir chaque transition (passage d'une ligne à la suivante). Pour qu'une bascule change d'état il faut que ses deux entrées soient à. N 2 0 J2 = K2 J = K J0 = K Chaque ligne de cette table correspond à une même tranche de temps. Il est assez facile d'en déduire les expressions logiques reliant les entrées aux sorties (en utilisant la table de Karnaugh): U3 Horloge J CLK K S R U:A J CLK K S R U:B 5 4 AND J CLK K S R U2:A Procédons de même pour réaliser un décompteur, nous écrivons la table des transitions recherchées N 2 0 J2 = K2 J = K J0 = K0 0 44

45 Nous en déduisons l'expression logique des entrées d'un décompteur : U3 Horloge J CLK K S R U:A J CLK K S R U:B 5 4 AND J CLK K S R U2:A

46 Bibliographie J. F. Wakerly, "Digital design, 4th edition". Prentice Hall, Givone, «Digital Principles and Design». McGraw-Hill Brown & Vranesic, «Fundamentals of Digital Logic with VHDL Design». McGraw-Hill Harvey & Sawan, «Systèmes logiques». Polytechnique 999. Mano, «Digital Design», 3e édition. Prentice-Hall Marcovitz, «Introduction to Logic Design», 2e édition. McGraw-Hill Roth, «Fundamentals of Logic Design», 5e édition. Thomson Brooks-Cole Sandige, «Digital Design Essentials». Prentice-Hall

47 . 47

48 48

IFT1215 Introduction aux systèmes informatiques

IFT1215 Introduction aux systèmes informatiques Introduction aux circuits logiques de base IFT25 Architecture en couches Niveau 5 Niveau 4 Niveau 3 Niveau 2 Niveau Niveau Couche des langages d application Traduction (compilateur) Couche du langage d

Plus en détail

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits Architecture des ordinateurs TD1 - Portes logiques et premiers circuits 1 Rappel : un peu de logique Exercice 1.1 Remplir la table de vérité suivante : a b a + b ab a + b ab a b 0 0 0 1 1 0 1 1 Exercice

Plus en détail

Logique binaire. Aujourd'hui, l'algèbre de Boole trouve de nombreuses applications en informatique et dans la conception des circuits électroniques.

Logique binaire. Aujourd'hui, l'algèbre de Boole trouve de nombreuses applications en informatique et dans la conception des circuits électroniques. Logique binaire I. L'algèbre de Boole L'algèbre de Boole est la partie des mathématiques, de la logique et de l'électronique qui s'intéresse aux opérations et aux fonctions sur les variables logiques.

Plus en détail

Système binaire. Algèbre booléenne

Système binaire. Algèbre booléenne Algèbre booléenne Système binaire Système digital qui emploie des signaux à deux valeurs uniques En général, les digits employés sont 0 et 1, qu'on appelle bits (binary digits) Avantages: on peut utiliser

Plus en détail

Codage d information. Codage d information : -Définition-

Codage d information. Codage d information : -Définition- Introduction Plan Systèmes de numération et Représentation des nombres Systèmes de numération Système de numération décimale Représentation dans une base b Représentation binaire, Octale et Hexadécimale

Plus en détail

Algèbre binaire et Circuits logiques (2007-2008)

Algèbre binaire et Circuits logiques (2007-2008) Université Mohammed V Faculté des Sciences Département de Mathématiques et Informatique Filière : SMI Algèbre binaire et Circuits logiques (27-28) Prof. Abdelhakim El Imrani Plan. Algèbre de Boole 2. Circuits

Plus en détail

Conversion d un entier. Méthode par soustraction

Conversion d un entier. Méthode par soustraction Conversion entre bases Pour passer d un nombre en base b à un nombre en base 10, on utilise l écriture polynomiale décrite précédemment. Pour passer d un nombre en base 10 à un nombre en base b, on peut

Plus en détail

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE I/ GÉNÉRALITÉS I.1/ Fonction Un compteur binaire est utilisé : -pour compter un certain nombre d'évènements binaires -pour diviser la fréquence d'un signal logique par 2 m Page 1 FONCTION COMPTAGE BINAIRE

Plus en détail

VIII- Circuits séquentiels. Mémoires

VIII- Circuits séquentiels. Mémoires 1 VIII- Circuits séquentiels. Mémoires Maintenant le temps va intervenir. Nous avions déjà indiqué que la traversée d une porte ne se faisait pas instantanément et qu il fallait en tenir compte, notamment

Plus en détail

2.4 Représentation graphique, tableau de Karnaugh

2.4 Représentation graphique, tableau de Karnaugh 2 Fonctions binaires 45 2.4 Représentation graphique, tableau de Karnaugh On peut définir complètement une fonction binaire en dressant son tableau de Karnaugh, table de vérité à 2 n cases pour n variables

Plus en détail

MPI Activité.10 : Logique binaire Portes logiques

MPI Activité.10 : Logique binaire Portes logiques MPI Activité.10 : Logique binaire Portes logiques I. Introduction De nombreux domaines font appel aux circuits logiques de commutation : non seulement l'informatique, mais aussi les technologies de l'asservissement

Plus en détail

Arithmétique binaire. Chapitre. 5.1 Notions. 5.1.1 Bit. 5.1.2 Mot

Arithmétique binaire. Chapitre. 5.1 Notions. 5.1.1 Bit. 5.1.2 Mot Chapitre 5 Arithmétique binaire L es codes sont manipulés au quotidien sans qu on s en rende compte, et leur compréhension est quasi instinctive. Le seul fait de lire fait appel au codage alphabétique,

Plus en détail

Informatique Générale

Informatique Générale Informatique Générale Guillaume Hutzler Laboratoire IBISC (Informatique Biologie Intégrative et Systèmes Complexes) guillaume.hutzler@ibisc.univ-evry.fr Cours Dokeos 625 http://www.ens.univ-evry.fr/modx/dokeos.html

Plus en détail

UEO11 COURS/TD 1. nombres entiers et réels codés en mémoire centrale. Caractères alphabétiques et caractères spéciaux.

UEO11 COURS/TD 1. nombres entiers et réels codés en mémoire centrale. Caractères alphabétiques et caractères spéciaux. UEO11 COURS/TD 1 Contenu du semestre Cours et TDs sont intégrés L objectif de ce cours équivalent a 6h de cours, 10h de TD et 8h de TP est le suivant : - initiation à l algorithmique - notions de bases

Plus en détail

Les fonctions logiques

Les fonctions logiques 1 Les fonctions logiques Le fonctionnement des ordinateurs tout comme d autres appareils électroniques repose sur l emploi des circuits électroniques de logique binaire ou électronique numérique. Dans

Plus en détail

Représentation d un entier en base b

Représentation d un entier en base b Représentation d un entier en base b 13 octobre 2012 1 Prérequis Les bases de la programmation en langage sont supposées avoir été travaillées L écriture en base b d un entier est ainsi défini à partir

Plus en détail

Logiciel de Base. I. Représentation des nombres

Logiciel de Base. I. Représentation des nombres Logiciel de Base (A1-06/07) Léon Mugwaneza ESIL/Dépt. Informatique (bureau A118) mugwaneza@univmed.fr I. Représentation des nombres Codage et représentation de l'information Information externe formats

Plus en détail

Définition 0,752 = 0,7 + 0,05 + 0,002 SYSTÈMES DE NUMÉRATION POSITIONNELS = 7 10 1 + 5 10 2 + 2 10 3

Définition 0,752 = 0,7 + 0,05 + 0,002 SYSTÈMES DE NUMÉRATION POSITIONNELS = 7 10 1 + 5 10 2 + 2 10 3 8 Systèmes de numération INTRODUCTION SYSTÈMES DE NUMÉRATION POSITIONNELS Dans un système positionnel, le nombre de symboles est fixe On représente par un symbole chaque chiffre inférieur à la base, incluant

Plus en détail

Représentation des Nombres

Représentation des Nombres Chapitre 5 Représentation des Nombres 5. Representation des entiers 5.. Principe des représentations en base b Base L entier écrit 344 correspond a 3 mille + 4 cent + dix + 4. Plus généralement a n a n...

Plus en détail

Cours Informatique 1. Monsieur SADOUNI Salheddine

Cours Informatique 1. Monsieur SADOUNI Salheddine Cours Informatique 1 Chapitre 2 les Systèmes Informatique Monsieur SADOUNI Salheddine Un Système Informatique lesystème Informatique est composé de deux parties : -le Matériel : constitué de l unité centrale

Plus en détail

I- Définitions des signaux.

I- Définitions des signaux. 101011011100 010110101010 101110101101 100101010101 Du compact-disc, au DVD, en passant par l appareil photo numérique, le scanner, et télévision numérique, le numérique a fait une entrée progressive mais

Plus en détail

QUESTION 1 {2 points}

QUESTION 1 {2 points} ELE4301 Systèmes logiques II Page 1 de 8 QUESTION 1 {2 points} En se servant de paramètres électriques donnés dans le Tableau 1 ci-dessous, on désire déterminer la fréquence d opération du compteur présenté

Plus en détail

RESUME DE COURS ET CAHIER D'EXERCICES

RESUME DE COURS ET CAHIER D'EXERCICES ARCITECTURE INFO-UP REUME DE COUR ET CAIER D'EXERCICE EPITA F. GABON Architecture EPITA INFO-UP F. Gabon COUR LIVRE D ARCITECTURE 3 REUME D'ELECTRONIUE LOGIUE 4 YTEME DE NUMERATION 6 ALGEBRE DE BOOLE 6

Plus en détail

Manipulations du laboratoire

Manipulations du laboratoire Manipulations du laboratoire 1 Matériel Les manipulations de ce laboratoire sont réalisées sur une carte électronique comprenant un compteur 4-bit asynchrone (74LS93) avec possibilité de déclenchement

Plus en détail

Concevoir son microprocesseur

Concevoir son microprocesseur Concevoir son microprocesseur structure des systèmes logiques Jean-Christophe Buisson Collection Technosup Ellipses Avant-propos Ce livre s adresse aux étudiants en informatique de licence et maîtrise,

Plus en détail

Cours Premier semestre

Cours Premier semestre C.Belleudy, D.Gaffé Université de Nice-Sophia Antipolis DEUG Première année SM,MP,MI UECS EEA Électronique Numérique Cours Premier semestre C. Belleudy, D.Gaffé version 3. 2 Électronique Numérique Chapitre

Plus en détail

Le codage informatique

Le codage informatique Outils de Bureautique Le codage informatique (exemple : du binaire au traitement de texte) PLAN 3) Le codage binaire 4) Représentation physique des bits 5) Le bit est un peu court... 6) Codage de texte

Plus en détail

Les portes logiques. Voici les symboles des trois fonctions de base. Portes AND. Portes OR. Porte NOT

Les portes logiques. Voici les symboles des trois fonctions de base. Portes AND. Portes OR. Porte NOT Les portes logiques Nous avons jusqu ici utilisé des boutons poussoirs et une lampe pour illustrer le fonctionnement des opérateurs logiques. En électronique digitale, les opérations logiques sont effectuées

Plus en détail

Algorithme. Table des matières

Algorithme. Table des matières 1 Algorithme Table des matières 1 Codage 2 1.1 Système binaire.............................. 2 1.2 La numérotation de position en base décimale............ 2 1.3 La numérotation de position en base binaire..............

Plus en détail

1 Introduction au codage

1 Introduction au codage CélestineOscarDésiréAnatoleGastonEugène 1 Introduction au codage 1.1 Les ensembles L ensemble de tout les ensembles est Dieu lui-même. Kantor Ensemble des parties d un ensemble désigne l ensemble des sous-ensembles

Plus en détail

ELP 304 : Électronique Numérique. Cours 1 Introduction

ELP 304 : Électronique Numérique. Cours 1 Introduction ELP 304 : Électronique Numérique Cours 1 Introduction Catherine Douillard Dépt Électronique Les systèmes numériques : généralités (I) En électronique numérique, le codage des informations utilise deux

Plus en détail

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd UE 503 L3 MIAGE Initiation Réseau et Programmation Web La couche physique A. Belaïd abelaid@loria.fr http://www.loria.fr/~abelaid/ Année Universitaire 2011/2012 2 Le Modèle OSI La couche physique ou le

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot Année universitaire 2014-2015 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 Codage des nombres en base 2, logique

Plus en détail

Modules d automatismes simples

Modules d automatismes simples Modules d automatismes simples Solutions pour automatiser Modules d'automatismes Enfin, vraiment simple! Un concentré de solution Pour vos petites applications d'automatismes millenium gère : Temporisations

Plus en détail

Une version javascript sera disponible directement dans le cours prochainement.

Une version javascript sera disponible directement dans le cours prochainement. Author : Cédric Vanconingsloo Ce cours est principalement axé sur la compréhension du fonctionnement d'un ordinateur et l'étude du seul langage qu'il connaisse, le binaire. De ce fait, le cours est relativement

Plus en détail

INITIATION AU LANGAGE C SUR PIC DE MICROSHIP

INITIATION AU LANGAGE C SUR PIC DE MICROSHIP COURS PROGRAMMATION INITIATION AU LANGAGE C SUR MICROCONTROLEUR PIC page 1 / 7 INITIATION AU LANGAGE C SUR PIC DE MICROSHIP I. Historique du langage C 1972 : naissance du C dans les laboratoires BELL par

Plus en détail

Le chiffre est le signe, le nombre est la valeur.

Le chiffre est le signe, le nombre est la valeur. Extrait de cours de maths de 6e Chapitre 1 : Les nombres et les opérations I) Chiffre et nombre 1.1 La numération décimale En mathématique, un chiffre est un signe utilisé pour l'écriture des nombres.

Plus en détail

Organisation des Ordinateurs

Organisation des Ordinateurs Organisation des Ordinateurs Bernard Boigelot E-mail : boigelot@montefiore.ulg.ac.be URL : http://www.montefiore.ulg.ac.be/~boigelot/ http://www.montefiore.ulg.ac.be/~boigelot/cours/org/ 1 Chapitre 1 Les

Plus en détail

- Instrumentation numérique -

- Instrumentation numérique - - Instrumentation numérique - I.Présentation du signal numérique. I.1. Définition des différents types de signaux. Signal analogique: Un signal analogique a son amplitude qui varie de façon continue au

Plus en détail

Partie 1. Professeur : Haouati Abdelali. CPGE Lycée Omar Ibn Lkhattab - Meknès www.haouati.com haouaticpge@gmail.com

Partie 1. Professeur : Haouati Abdelali. CPGE Lycée Omar Ibn Lkhattab - Meknès www.haouati.com haouaticpge@gmail.com Partie 1 Professeur : Haouati Abdelali CPGE Lycée Omar Ibn Lkhattab - Meknès www.haouati.com haouaticpge@gmail.com Partie I : Généralités et algorithmique de base 1. Environnement matériel et logiciel

Plus en détail

La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA)

La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA) La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA) I. L'intérêt de la conversion de données, problèmes et définitions associés. I.1. Définitions:

Plus en détail

Acquisition et conditionnement de l information Les capteurs

Acquisition et conditionnement de l information Les capteurs Acquisition et conditionnement de l information Les capteurs COURS 1. Exemple d une chaîne d acquisition d une information L'acquisition de la grandeur physique est réalisée par un capteur qui traduit

Plus en détail

DU BINAIRE AU MICROPROCESSEUR - D ANGELIS CIRCUITS CONFIGURABLES NOTION DE PROGRAMMATION

DU BINAIRE AU MICROPROCESSEUR - D ANGELIS CIRCUITS CONFIGURABLES NOTION DE PROGRAMMATION 145 NOTION DE PROGRAMMATION 1/ Complétons notre microprocesseur Nous avons, dans les leçons précédentes décrit un microprocesseur théorique, cependant il s inspire du 6800, premier microprocesseur conçu

Plus en détail

Les opérations binaires

Les opérations binaires Les opérations binaires Compétences associées A2 : Analyser et interpréter une information numérique Objectifs Etre capable: - De coder les nombres entiers en code complément à 2. - De résoudre les opérations

Plus en détail

CORRIGE LES NOMBRES DECIMAUX RELATIFS. «Réfléchir avant d agir!»

CORRIGE LES NOMBRES DECIMAUX RELATIFS. «Réfléchir avant d agir!» Corrigé Cours de Mr JULES v3.3 Classe de Quatrième Contrat 1 Page 1 sur 13 CORRIGE LES NOMBRES DECIMAUX RELATIFS. «Réfléchir avant d agir!» «Correction en rouge et italique.» I. Les nombres décimaux relatifs.

Plus en détail

USTL - Licence ST-A 1ère année 2005-2006 Codage de l information TP 1 :

USTL - Licence ST-A 1ère année 2005-2006 Codage de l information TP 1 : USTL - Licence ST-A 1ère année 2005-2006 Codage de l information TP 1 : Objectifs du TP Ce TP a pour but 1. de découvrir quelques opérations logiques sur les nombres 2. et quelques formats de fichiers.

Plus en détail

TD Architecture des ordinateurs. Jean-Luc Dekeyser

TD Architecture des ordinateurs. Jean-Luc Dekeyser TD Architecture des ordinateurs Jean-Luc Dekeyser Fiche 1 Nombres de l informatique Exercice 1 Une entreprise désire réaliser la sauvegarde de ses données sur un site distant. Le volume de données à sauvegarder

Plus en détail

Date : 18.11.2013 Tangram en carré page

Date : 18.11.2013 Tangram en carré page Date : 18.11.2013 Tangram en carré page Titre : Tangram en carré Numéro de la dernière page : 14 Degrés : 1 e 4 e du Collège Durée : 90 minutes Résumé : Le jeu de Tangram (appelé en chinois les sept planches

Plus en détail

V- Manipulations de nombres en binaire

V- Manipulations de nombres en binaire 1 V- Manipulations de nombres en binaire L ordinateur est constitué de milliards de transistors qui travaillent comme des interrupteurs électriques, soit ouverts soit fermés. Soit la ligne est activée,

Plus en détail

DOCM 2013 http://docm.math.ca/ Solutions officielles. 1 2 10 + 1 2 9 + 1 2 8 = n 2 10.

DOCM 2013 http://docm.math.ca/ Solutions officielles. 1 2 10 + 1 2 9 + 1 2 8 = n 2 10. A1 Trouvez l entier positif n qui satisfait l équation suivante: Solution 1 2 10 + 1 2 9 + 1 2 8 = n 2 10. En additionnant les termes du côté gauche de l équation en les mettant sur le même dénominateur

Plus en détail

CHAPITRE VIII : Les circuits avec résistances ohmiques

CHAPITRE VIII : Les circuits avec résistances ohmiques CHAPITRE VIII : Les circuits avec résistances ohmiques VIII. 1 Ce chapitre porte sur les courants et les différences de potentiel dans les circuits. VIII.1 : Les résistances en série et en parallèle On

Plus en détail

Projet Matlab : un logiciel de cryptage

Projet Matlab : un logiciel de cryptage Projet Matlab : un logiciel de cryptage La stéganographie (du grec steganos : couvert et graphein : écriture) consiste à dissimuler une information au sein d'une autre à caractère anodin, de sorte que

Plus en détail

Série D65/D75/D72 Afficheurs digitaux modulaires

Série D65/D75/D72 Afficheurs digitaux modulaires Série D65/D75/D72 Afficheurs digitaux modulaires Afficheurs digitaux modulaires Afficheurs digitaux individuels La série D65/D75/D72 représente une vaste gamme de modules d affichage numériques, hexadécimaux

Plus en détail

ASR1 TD7 : Un microprocesseur RISC 16 bits

ASR1 TD7 : Un microprocesseur RISC 16 bits {Â Ö Ñ º ØÖ Ý,È ØÖ ºÄÓ Ù,Æ ÓÐ ºÎ ÝÖ Ø¹ ÖÚ ÐÐÓÒ} Ò ¹ÐÝÓÒº Ö ØØÔ»»Ô Ö Óº Ò ¹ÐÝÓÒº Ö» Ö Ñ º ØÖ Ý»¼ Ö½» ASR1 TD7 : Un microprocesseur RISC 16 bits 13, 20 et 27 novembre 2006 Présentation générale On choisit

Plus en détail

GPA770 Microélectronique appliquée Exercices série A

GPA770 Microélectronique appliquée Exercices série A GPA770 Microélectronique appliquée Exercices série A 1. Effectuez les calculs suivants sur des nombres binaires en complément à avec une représentation de 8 bits. Est-ce qu il y a débordement en complément

Plus en détail

Cours d Informatique

Cours d Informatique Cours d Informatique 1ère année SM/SMI 2007/2008, Info 2 Département de Mathématiques et d Informatique, Université Mohammed V elbenani@hotmail.com sayah@fsr.ac.ma 2007/2008 Info2, 1ère année SM/SMI 1

Plus en détail

AC AB. A B C x 1. x + 1. d où. Avec un calcul vu au lycée, on démontre que cette solution admet deux solutions dont une seule nous intéresse : x =

AC AB. A B C x 1. x + 1. d où. Avec un calcul vu au lycée, on démontre que cette solution admet deux solutions dont une seule nous intéresse : x = LE NOMBRE D OR Présentation et calcul du nombre d or Euclide avait trouvé un moyen de partager en deu un segment selon en «etrême et moyenne raison» Soit un segment [AB]. Le partage d Euclide consiste

Plus en détail

TS 35 Numériser. Activité introductive - Exercice et démarche expérimentale en fin d activité Notions et contenus du programme de Terminale S

TS 35 Numériser. Activité introductive - Exercice et démarche expérimentale en fin d activité Notions et contenus du programme de Terminale S FICHE Fiche à destination des enseignants TS 35 Numériser Type d'activité Activité introductive - Exercice et démarche expérimentale en fin d activité Notions et contenus du programme de Terminale S Compétences

Plus en détail

Cours d introduction à l informatique. Partie 2 : Comment écrire un algorithme? Qu est-ce qu une variable? Expressions et instructions

Cours d introduction à l informatique. Partie 2 : Comment écrire un algorithme? Qu est-ce qu une variable? Expressions et instructions Cours d introduction à l informatique Partie 2 : Comment écrire un algorithme? Qu est-ce qu une variable? Expressions et instructions Qu est-ce qu un Une recette de cuisine algorithme? Protocole expérimental

Plus en détail

Logique séquentielle

Logique séquentielle Bascules et logique séquentielle aniel Etiemble de@lri.fr Logique séquentielle Logique séquentielle Le système a des «états» ans un système séquentiel Éléments de mémorisation Les sorties dépendent des

Plus en détail

Priorités de calcul :

Priorités de calcul : EXERCICES DE REVISION POUR LE PASSAGE EN QUATRIEME : Priorités de calcul : Exercice 1 : Calcule en détaillant : A = 4 + 5 6 + 7 B = 6 3 + 5 C = 35 5 3 D = 6 7 + 8 E = 38 6 3 + 7 Exercice : Calcule en détaillant

Plus en détail

La mémoire. Un ordinateur. L'octet. Le bit

La mémoire. Un ordinateur. L'octet. Le bit Introduction à l informatique et à la programmation Un ordinateur Un ordinateur est une machine à calculer composée de : un processeur (ou unité centrale) qui effectue les calculs une mémoire qui conserve

Plus en détail

Licence Sciences et Technologies Examen janvier 2010

Licence Sciences et Technologies Examen janvier 2010 Université de Provence Introduction à l Informatique Licence Sciences et Technologies Examen janvier 2010 Année 2009-10 Aucun document n est autorisé Les exercices peuvent être traités dans le désordre.

Plus en détail

CHAPITRE VI ALEAS. 6.1.Généralités.

CHAPITRE VI ALEAS. 6.1.Généralités. CHAPITRE VI ALEAS 6.1.Généralités. Lors de la synthèse des systèmes logique (combinatoires ou séquentiels), nous avons supposé, implicitement, qu une même variable secondaire avait toujours la même valeur

Plus en détail

Le multiplexage. Sommaire

Le multiplexage. Sommaire Sommaire Table des matières 1- GENERALITES... 2 1-1 Introduction... 2 1-2 Multiplexage... 4 1-3 Transmission numérique... 5 2- LA NUMERATION HEXADECIMALE Base 16... 8 3- ARCHITECTURE ET PROTOCOLE DES RESEAUX...

Plus en détail

Fiche technique CPU 314SC/DPM (314-6CG13)

Fiche technique CPU 314SC/DPM (314-6CG13) Fiche technique CPU 314SC/DPM (3146CG13) Données techniques N de commande 3146CG13 Type CPU 314SC/DPM Information générale Note Caractéristiques SPEEDBus Technologie SPEED7 24 x DI, 16 x DO, 8 x DIO, 4

Plus en détail

Architecture de l ordinateur

Architecture de l ordinateur Architecture de l ordinateur Emmanuel Lazard Université Paris-Dauphine mars 2011 Computers are my forte! BRAZIL (Terry Gilliam, 1985) Ce document a initialement été publié sous forme de livre : Emmanuel

Plus en détail

INTRODUCTION A L ELECTRONIQUE NUMERIQUE ECHANTILLONNAGE ET QUANTIFICATION I. ARCHITECTURE DE L ELECRONIQUE NUMERIQUE

INTRODUCTION A L ELECTRONIQUE NUMERIQUE ECHANTILLONNAGE ET QUANTIFICATION I. ARCHITECTURE DE L ELECRONIQUE NUMERIQUE INTRODUCTION A L ELECTRONIQUE NUMERIQUE ECHANTILLONNAGE ET QUANTIFICATION I. ARCHITECTURE DE L ELECRONIQUE NUMERIQUE Le schéma synoptique ci-dessous décrit les différentes étapes du traitement numérique

Plus en détail

Introduction à l étude des Corps Finis

Introduction à l étude des Corps Finis Introduction à l étude des Corps Finis Robert Rolland (Résumé) 1 Introduction La structure de corps fini intervient dans divers domaines des mathématiques, en particulier dans la théorie de Galois sur

Plus en détail

De même, le périmètre P d un cercle de rayon 1 vaut P = 2π (par définition de π). Mais, on peut démontrer (difficilement!) que

De même, le périmètre P d un cercle de rayon 1 vaut P = 2π (par définition de π). Mais, on peut démontrer (difficilement!) que Introduction. On suppose connus les ensembles N (des entiers naturels), Z des entiers relatifs et Q (des nombres rationnels). On s est rendu compte, depuis l antiquité, que l on ne peut pas tout mesurer

Plus en détail

Programmation C. Apprendre à développer des programmes simples dans le langage C

Programmation C. Apprendre à développer des programmes simples dans le langage C Programmation C Apprendre à développer des programmes simples dans le langage C Notes de cours sont disponibles sur http://astro.u-strasbg.fr/scyon/stusm (attention les majuscules sont importantes) Modalités

Plus en détail

Chapitre 1 I:\ Soyez courageux!

Chapitre 1 I:\ Soyez courageux! Chapitre 1 I:\ Soyez courageux! Pour ne rien vous cacher, le langage d'assembleur (souvent désigné sous le terme "Assembleur", bien que ce soit un abus de langage, puisque "Assembleur" désigne le logiciel

Plus en détail

Chapitre 1 Introduction à la technologie des ordinateurs 1.1 DEFINITION DE L INFORMATIQUE L informatique (de information et automatique) est la «science du traitement rationnel (fondée sur la raison, conforme

Plus en détail

Jeux de caracte res et encodage (par Michel Michaud 2014)

Jeux de caracte res et encodage (par Michel Michaud 2014) Jeux de caracte res et encodage (par Michel Michaud 2014) Les ordinateurs ne traitent que des données numériques. En fait, les codages électriques qu'ils conservent en mémoire centrale ne représentent

Plus en détail

Les droites (d 1 ) et (d 2 ) sont sécantes en A Le point A est le point d intersection des 2 droites

Les droites (d 1 ) et (d 2 ) sont sécantes en A Le point A est le point d intersection des 2 droites I Droites perpendiculaires Lorsque deux droites se coupent, on dit qu elles sont sécantes Les droites (d 1 ) et (d 2 ) sont sécantes en A Le point A est le point d intersection des 2 droites Lorsque deux

Plus en détail

TP Codage numérique des caractères. Un ordinateur ne manipule que des 0 et des 1 : Comment alors code-t-il du texte?

TP Codage numérique des caractères. Un ordinateur ne manipule que des 0 et des 1 : Comment alors code-t-il du texte? TP Codage numérique des caractères Un ordinateur ne manipule que des 0 et des 1 : Comment alors code-t-il du texte? I Le code ASCII 1. Une première approche Ouvrir un fichier Excell Sur la ligne 1 du tableau,

Plus en détail

Fonctions homographiques

Fonctions homographiques Seconde-Fonctions homographiques-cours Mai 0 Fonctions homographiques Introduction Voir le TP Géogébra. La fonction inverse. Définition Considérons la fonction f définie par f() =. Alors :. f est définie

Plus en détail

Architecture des ordinateurs Introduction à l informatique

Architecture des ordinateurs Introduction à l informatique Architecture des ordinateurs Introduction à l informatique 17 septembre 2004 1 2 3 4 5 6 Les interrupteurs... 0V 5V Ce sont des composants électroniques qui laissent pser un courant principal lorsque la

Plus en détail

Machines virtuelles Cours 1 : Introduction

Machines virtuelles Cours 1 : Introduction Machines virtuelles Cours 1 : Introduction Pierre Letouzey 1 pierre.letouzey@inria.fr PPS - Université Denis Diderot Paris 7 janvier 2012 1. Merci à Y. Régis-Gianas pour les transparents Qu est-ce qu une

Plus en détail

MICROINFORMATIQUE NOTE D APPLICATION 1 (REV. 2011) ARITHMETIQUE EN ASSEMBLEUR ET EN C

MICROINFORMATIQUE NOTE D APPLICATION 1 (REV. 2011) ARITHMETIQUE EN ASSEMBLEUR ET EN C Haute Ecole d Ingénierie et de Gestion Du Canton du Vaud MICROINFORMATIQUE NOTE D APPLICATION 1 (REV. 2011) ARITHMETIQUE EN ASSEMBLEUR ET EN C Programmation en mode simulation 1. DOCUMENTS DE RÉFÉRENCE...

Plus en détail

Microprocesseur + Logiciel

Microprocesseur + Logiciel Microprocesseur + Logiciel Robot EVALBOT MOHAMED AKIL BUREAU 5253 UNITE IGI 1001 PROGRAMMATION DES MICROPROCESSEURS Présentation [IGI1001] CONTEXTE SCIENTIFIQUE... 4 1. OBJECTIFS DE L UNITE... 6 2. OBJECTIFS

Plus en détail

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE ARDUINO DOSSIER RESSOURCE POUR LA CLASSE Sommaire 1. Présentation 2. Exemple d apprentissage 3. Lexique de termes anglais 4. Reconnaître les composants 5. Rendre Arduino autonome 6. Les signaux d entrée

Plus en détail

STAGE IREM 0- Premiers pas en Python

STAGE IREM 0- Premiers pas en Python Université de Bordeaux 16-18 Février 2014/2015 STAGE IREM 0- Premiers pas en Python IREM de Bordeaux Affectation et expressions Le langage python permet tout d abord de faire des calculs. On peut évaluer

Plus en détail

J AUVRAY Systèmes Electroniques TRANSMISSION DES SIGNAUX NUMERIQUES : SIGNAUX EN BANDE DE BASE

J AUVRAY Systèmes Electroniques TRANSMISSION DES SIGNAUX NUMERIQUES : SIGNAUX EN BANDE DE BASE RANSMISSION DES SIGNAUX NUMERIQUES : SIGNAUX EN BANDE DE BASE Un message numérique est une suite de nombres que l on considérera dans un premier temps comme indépendants.ils sont codés le plus souvent

Plus en détail

Licence ST Université Claude Bernard Lyon I LIF1 : Algorithmique et Programmation C Bases du langage C 1 Conclusion de la dernière fois Introduction de l algorithmique générale pour permettre de traiter

Plus en détail

Introduction à l algorithmique et à la programmation (Info 2)

Introduction à l algorithmique et à la programmation (Info 2) Introduction à l algorithmique et à la programmation (Info 2) Premier cours: présentation du module, codage et définition de l algorithmique Matthieu Puigt IUT du Littoral Côte d Opale DUT Génie Industriel

Plus en détail

1/24. I passer d un problème exprimé en français à la réalisation d un. I expressions arithmétiques. I structures de contrôle (tests, boucles)

1/24. I passer d un problème exprimé en français à la réalisation d un. I expressions arithmétiques. I structures de contrôle (tests, boucles) 1/4 Objectif de ce cours /4 Objectifs de ce cours Introduction au langage C - Cours Girardot/Roelens Septembre 013 Du problème au programme I passer d un problème exprimé en français à la réalisation d

Plus en détail

FICHE UE Licence/Master Sciences, Technologies, Santé Mention Informatique

FICHE UE Licence/Master Sciences, Technologies, Santé Mention Informatique NOM DE L'UE : Algorithmique et programmation C++ LICENCE INFORMATIQUE Non Alt Alt S1 S2 S3 S4 S5 S6 Parcours : IL (Ingénierie Logicielle) SRI (Systèmes et Réseaux Informatiques) MASTER INFORMATIQUE Non

Plus en détail

Activité 1. Compter les points Écriture binaire des nombres. Résumé. Liens pédagogiques. Compétences. Âge. Matériel

Activité 1. Compter les points Écriture binaire des nombres. Résumé. Liens pédagogiques. Compétences. Âge. Matériel Activité 1 Compter les points Écriture binaire des nombres Résumé Les données de l ordinateur sont stockées et transmises sous la forme d une série de 0 et de 1. Comment peut-on représenter des mots et

Plus en détail

Petit lexique de calcul à l usage des élèves de sixième et de cinquième par M. PARCABE, professeur au collège Alain FOURNIER de BORDEAUX, mars 2007

Petit lexique de calcul à l usage des élèves de sixième et de cinquième par M. PARCABE, professeur au collège Alain FOURNIER de BORDEAUX, mars 2007 Petit lexique de calcul à l usage des élèves de sixième et de cinquième par M. PARCABE, professeur au collège Alain FOURNIER de BORDEAUX, mars 2007 page 1 / 10 abscisse addition additionner ajouter appliquer

Plus en détail

Représentation géométrique d un nombre complexe

Représentation géométrique d un nombre complexe CHAPITRE 1 NOMBRES COMPLEXES 1 Représentation géométrique d un nombre complexe 1. Ensemble des nombres complexes Soit i le nombre tel que i = 1 L ensemble des nombres complexes est l ensemble des nombres

Plus en détail

DE L ALGORITHME AU PROGRAMME INTRO AU LANGAGE C 51

DE L ALGORITHME AU PROGRAMME INTRO AU LANGAGE C 51 DE L ALGORITHME AU PROGRAMME INTRO AU LANGAGE C 51 PLAN DU COURS Introduction au langage C Notions de compilation Variables, types, constantes, tableaux, opérateurs Entrées sorties de base Structures de

Plus en détail

La NP-complétude. Johanne Cohen. PRISM/CNRS, Versailles, France.

La NP-complétude. Johanne Cohen. PRISM/CNRS, Versailles, France. La NP-complétude Johanne Cohen PRISM/CNRS, Versailles, France. Références 1. Algorithm Design, Jon Kleinberg, Eva Tardos, Addison-Wesley, 2006. 2. Computers and Intractability : A Guide to the Theory of

Plus en détail

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview.

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. Sciences et Technologies de l Industrie et du Développement Durable Formation des enseignants parcours : ET24 Modèle de

Plus en détail

Chapitre 10 Arithmétique réelle

Chapitre 10 Arithmétique réelle Chapitre 10 Arithmétique réelle Jean Privat Université du Québec à Montréal INF2170 Organisation des ordinateurs et assembleur Automne 2013 Jean Privat (UQAM) 10 Arithmétique réelle INF2170 Automne 2013

Plus en détail

Précision d un résultat et calculs d incertitudes

Précision d un résultat et calculs d incertitudes Précision d un résultat et calculs d incertitudes PSI* 2012-2013 Lycée Chaptal 3 Table des matières Table des matières 1. Présentation d un résultat numérique................................ 4 1.1 Notations.........................................................

Plus en détail

Travaux pratiques. Compression en codage de Huffman. 1.3. Organisation d un projet de programmation

Travaux pratiques. Compression en codage de Huffman. 1.3. Organisation d un projet de programmation Université de Savoie Module ETRS711 Travaux pratiques Compression en codage de Huffman 1. Organisation du projet 1.1. Objectifs Le but de ce projet est d'écrire un programme permettant de compresser des

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot et Sébastien Viardot Année universitaire 2011-2012 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 C12 Codage des

Plus en détail

Continuité et dérivabilité d une fonction

Continuité et dérivabilité d une fonction DERNIÈRE IMPRESSIN LE 7 novembre 014 à 10:3 Continuité et dérivabilité d une fonction Table des matières 1 Continuité d une fonction 1.1 Limite finie en un point.......................... 1. Continuité

Plus en détail

3. SPÉCIFICATIONS DU LOGICIEL. de l'expression des besoins à la conception. Spécifications fonctionnelles Analyse fonctionnelle et méthodes

3. SPÉCIFICATIONS DU LOGICIEL. de l'expression des besoins à la conception. Spécifications fonctionnelles Analyse fonctionnelle et méthodes PLAN CYCLE DE VIE D'UN LOGICIEL EXPRESSION DES BESOINS SPÉCIFICATIONS DU LOGICIEL CONCEPTION DU LOGICIEL LA PROGRAMMATION TESTS ET MISE AU POINT DOCUMENTATION CONCLUSION C.Crochepeyre Génie Logiciel Diapason

Plus en détail