PLAN PLAN 26/10/2016. Faculté des Sciences de Gabes Département d informatique. Cours Circuits Logiques 1. Généralités.

Dimension: px
Commencer à balayer dès la page:

Download "PLAN PLAN 26/10/2016. Faculté des Sciences de Gabes Département d informatique. Cours Circuits Logiques 1. Généralités."

Transcription

1 CHAPITRE III LOGIUE SÉUENTIELLE Par : Khaled Hassine Khaled.hassine@fsg.rnu.tn Khaled Hassine 1 Khaled Hassine 2 PLAN PLAN Généralités Généralités Présentation des bascules Présentation des bascules Analyse d un circuit séquentiel Analyse d un circuit séquentiel Conception d un circuit séquentiel Conception d un circuit séquentiel Les registres et les compteurs Khaled Hassine 3 Les registres et les compteurs Khaled Hassine 4 Cours Circuits Logiques 1

2 Introduction Schéma général d un circuit combinatoire Les opérateurs que nous avons vus dans le chapitre précédent sont des opérateurs élémentaires dits booléens car ils réalisent les opérations logiques de l'algèbre de Boole. Les circuits construits à partir de ces opérateurs sont dits circuits combinatoires (Combinatory circuit) dont les sorties dépendent uniquement de l état des entrées et l'apparition des données à l'entrée du circuit entraîne le passage de chaque sortie à l'état défini par la fonction logique correspondante. X 0 X 1 X n-1 : : : : Y 0 Y 1 Y m-1 Khaled Hassine 5 Khaled Hassine 6 Notion de mémoire Soit un circuit combinatoire permettant de signaler une coupure ou un court-circuit dans un système de production. La sortie du circuit alimente une sonnerie d'alarme par l'intermédiaire d'un amplificateur. Ce circuit déclenche, le cas échéant, la sonnerie d'alarme pendant la courte durée du court-circuit. Il est donc souhaitable de disposer d'un circuit qui conserve l'information (en d'autres termes un circuit avec mémoire) afin que la personne concernée (le gardien par exemple) puisse le détecter. Ce circuit est dit fonction mémoire ou fonction bascule, en référence à son composant de base. Définition d une bascule Une bascule est un opérateur susceptible de : basculer, c'est à dire, de changer d'état sur commande de conserver le nouvel état jusqu'à l'apparition d'une nouvelle commande. Une bascule est donc une mémoire élémentaire permettant de sauvegarder un bit. Khaled Hassine 7 Khaled Hassine 8 Cours Circuits Logiques 2

3 Généralités sur les circuits séquentiels Schéma général d un circuit séquentiel Les opérateurs bascules permettent la réalisation des circuits séquentiels (Sequentials circuits). Un circuit séquentiel est un assemblage de circuits logiques et de circuits de mémorisations (les bascules) élémentaires. Les circuits séquentiels sont des circuits dont les sorties dépendent non seulement de l état des entrées mais aussi et surtout de l état du système aux instants passés. X 0 X 1 X n-1 : : : V 0 V p-1 Circuits combinatoires Eléments mémoires Z 0 Z l-1 : : Y 0 Y 1 Y m-1 Yi f i ( X j, Vk ) Z r f r ( X j, Vk ) pour i 0, m 1 j 0, n 1 k 0, l 1 r 0, p 1 Khaled Hassine 9 Khaled Hassine 10 Circuit synchrone et asynchrone Horloge Lorsque les changements d'état des divers composants d'un circuit séquentiel se produisent à des instants qui dépendent des temps de réponse des autres composants et des temps de propagation des signaux on parle de logique séquentielle asynchrone. Cependant les retards peuvent ne pas être identiques pour toutes les variables binaires et conduire à certains aléas. Ceux-ci peuvent être évités en synchronisant la séquence des diverses opérations logiques sur les signaux périodiques provenant d'une horloge. La logique séquentielle est alors dite synchrone : tous les changements d'état sont synchronisés sur un signal de contrôle. On associe souvent aux circuits séquentiels une entrée supplémentaire dite entrée d'horloge (dite Clock et noté CP). Cette horloge fournit une succession périodique d'impulsions de largeur tp, supposée petite devant la période T. Une telle bascule est qualifiée de synchrone. On note n la valeur de la sortie pendant le n ième intervalle précédant la n ième impulsion et n+1 la valeur correspondante dans l'intervalle suivant la n ième impulsion. Dans le cas où le circuit serait sans horloge, on dit qu il fonctionne en mode asynchrone. L'avantage principal du mode synchrone est d'être insensible aux parasites en dehors des impulsions d'horloge. Khaled Hassine 11 Khaled Hassine 12 Cours Circuits Logiques 3

4 Horloge T est de l'ordre de 70 µs et le temps de maintien de l'état 1 est de l'ordre de 10 µs. Automates de Moore et de Mealy Si la conception des circuits combinatoires repose sur l'algèbre de Boole, les circuits séquentiels reposent, quant à eux, sur la théorie des automates finis. Les automates finis sont des êtres mathématiques composés d'un nombre fini d'éléments et notamment des mémoires. Il existe principalement deux classes d'automates finis : l'automate de Moore l'automate de Mealy. Khaled Hassine 13 Khaled Hassine 14 Automate de Moore Les sorties à l'instant t+1 tiennent compte des états à l'instant t+1, c'est à dire, on commence par calculer les nouveaux états et à partir de ces derniers, on calcule les sorties. E n tré e L o g iq u e c o m b in a to ire E ta t d e l a u to m a te L o g iq u e c o m b in a to ire S o rtie Automate de Mealy Les sorties à l'instant t+1 tiennent compte des états à l'instant t. Ceci revient à calculer en parallèle les nouveaux états et les sorties. Entrée Logique combinatoire Sortie Etat de l automate Khaled Hassine 15 Khaled Hassine 16 Cours Circuits Logiques 4

5 PLAN Généralités Présentation des bascules Analyse d un circuit séquentiel Conception d un circuit séquentiel Définition et principe de fonctionnement Une bascule (flip-flop) a pour rôle de mémoriser une information élémentaire. C'est une mémoire à 1 bit. Une bascule possède deux sorties complémentaires, notées : et. Ce type de circuit est encore appelé circuit bistable. La mémorisation fait appel à un verrou (latch) ou système de blocage. Les registres et les compteurs Khaled Hassine 17 Khaled Hassine 18 Principe de verrou dans une bascule A B Ce verrou permet de conserver un état, il faut maintenant savoir comment charger cet état Khaled Hassine 19 Différents types de bascules Bascule RS Bascule D Bascule JK Bascule T Bascule maître esclave Khaled Hassine 20 Cours Circuits Logiques 5

6 Différents types de bascules Bascule RS Bascule D Bascule JK Bascule T Bascule maître esclave Bascule RS La bascule RS dispose de deux entrées R : Reset : Mise à zéro S : Set : Mise à 1 deux sorties et '. La sortie de la porte 1 est réinjectée à l'entrée de la porte 2 et inversement. Khaled Hassine 21 Khaled Hassine 22 Bascule RS Bascule RS Synchrone R S S 3 1 CP S R R 4 Le fonctionnement de la bascule synchrone dépend de la présence de l'impulsion d'horloge (CP) : aussi longtemps que CP est à 0, les sorties des portes 3 et 4 restent à 1 indifféremment des variations des entrées S et R. Les sorties et ' restent alors inchangées. Une fois CP passe à la valeur 1, les informations venant de S et R peuvent modifier les sorties des portes 1 et 2. 2 ' Khaled Hassine 23 Khaled Hassine 24 Cours Circuits Logiques 6

7 Fonction de la bascule RS Cas ou S=R=1 S R (t) '(t) (t+1) '(t+1) Observations l'état Set. "Set for 1" l'état Clear sans changement Si S = 1 et R = 1, l'état est dit indéterminé car on est en train de forcer à mettre la bascule en même temps à l'état 1 et à l'état 0. peut être dans ce cas égal à ' et ceci dépend de l'ordre d'arrivée des entrées à la bascule. En effet, si on applique S = 1 et R = 0 ou S = 0 et R = 1, on impose l'état de la sortie respectivement à 1 ou à 0, l'autre sortie prenant la valeur complémentaire. Cet état se maintient lorsque les deux entrées retournent à 0. La configuration S = R = 1 est à proscrire car ici elle impose les deux sorties dans l'état 0, ce qui est inconsistant logiquement avec notre définition. Khaled Hassine 25 Khaled Hassine 26 Caractéristiques de la bascule RS S R t+1 Commentaire 0 0 t Pas de changement Mise à zéro (Clear) Mise à 1 (Set) 1 1? Etat indéterminé ( t 1) RS( t) S R R( S S( t)) R( S ( t)) Différents types de bascules Bascule RS Bascule D Bascule JK Bascule T Bascule maître esclave Khaled Hassine 27 Khaled Hassine 28 Cours Circuits Logiques 7

8 Principe de base Schéma de la bascule D asynchrone La bascule D est obtenue après une légère modification de la bascule RS en insérant un inverseur entre S et R. On interdit ainsi les états ou S=R et en particulier l état indéterminé S=R=1. D S R (t) (t) D (t) (t) On appelle l unique entrée D. Khaled Hassine 29 Khaled Hassine 30 Caractéristiques de la bascule D D S R t+1 Commentaire Mise à zéro Mise à 1 ( t 1) D Différents types de bascules Bascule RS Bascule D Bascule JK Bascule T Bascule maître esclave Khaled Hassine 31 Khaled Hassine 32 Cours Circuits Logiques 8

9 Principe de base Schéma de la bascule JK La bascule JK est un perfectionnement de la bascule RS. Le cas indéterminé de cette dernière (S et R égaux à 1) est défini dans la bascule JK, par une inversion des états des sorties en asservissant les entrées R et S aux sorties S J R K J CP K S R (t) (t) J K (t) (t ) Khaled Hassine 33 Khaled Hassine 34 Table de vérité de la bascule JK Fonction caractéristique de la bascule JK J K (t) S R (t+1) Comment Commentaire (t) (t) (t)= (t)= Pas de (t) changement 0 Mise à zéro 1 Mise à 1 (t) État inversé JK ( t 1) J K Khaled Hassine 35 Khaled Hassine 36 Cours Circuits Logiques 9

10 Différents types de bascules Bascule RS Bascule D Bascule JK Bascule T Bascule maître esclave Principe de base Pour une bascule J-K, nous constatons que si J=K=1, l'état de la sortie est inversé à chaque cycle d'horloge. La bascule T a une seule entrée appelée "Trigger" (mot anglais pour dire déclenchement) est obtenue par connexion des entrées J et K à une même source. Il est pour cela parfois dit la bascule complément. Khaled Hassine 37 Khaled Hassine 38 Schéma et tableau caractéristique de la bascule JK T CP S R (t) T J K t+1 Commentaire t Pas de changement 't État inversé T (t) Différents types de bascules Bascule RS Bascule D Bascule JK Bascule T Bascule maître esclave Khaled Hassine 39 Khaled Hassine 40 Cours Circuits Logiques 10

11 Composition Exemple d'une bascule maître esclave. La bascule maître esclave est formée de deux bascules reliées à la même horloge mais le top d'horloge de la première bascule (le maître) est l'opposé du top d'horloge de la deuxième bascule (l'esclave). R S CP S R (t) (t) S R (t) (t) Khaled Hassine 41 Khaled Hassine 42 Fonctionnement Le fonctionnement de cette bascule est comme suit : lorsque le top d'horloge est en front montant (=1), il permet aux données de passer de la bascule maître alors que le top d'horloge de la bascule esclave est égale à 0, et donc les données ne passent pas à la bascule esclave. En front descendant de l'horloge (=0), les données ne passent pas au maître mais les sorties de la bascule maître passe aux entrées de l'esclave. L'objectif est de garantir le passage des informations sans transformation éventuelle du à un éventuel bruit ou toute perturbation comme "le problème de course" (Race problem). Preset et Clear Les entrées asynchrones (utiliser en absence de signal d'horloge) Pr (Preset) et Cr (Clear) permettent d'assigner l'état initial de la bascule, par exemple à la mise sous tension pour éviter tout aléa. En fonctionnement normal, ces deux entrées doivent être maintenues à 1. Khaled Hassine 43 Khaled Hassine 44 Cours Circuits Logiques 11

12 Preset et Clear Pr Cr Table d excitation des bascules Les tables caractéristiques des bascules spécifient le prochain état quand les entrées et l'état actuel sont connus. Or, durant la conception d'un processus (circuit logique), les états de transitions (présent et prochain) sont souvent connus et ce sont les entrées qui sont à déterminer pour assurer la transition voulue. Pour cette raison, il nous faut une table qui donne les entrées nécessaires pour une transition d'états donnée. Cette table est dite table d'excitation de la bascule (ou du circuit combinatoire). Elle est donc constituée de deux colonnes t et t+1 et une colonne pour chaque entrée. Il y a quatre transitions (4 lignes) pour aller de t à t+1. Khaled Hassine 45 Khaled Hassine 46 Tables d'excitation des bascules PLAN Bascule RS Bascule D Bascule JK Bascule T t t+1 S R D J K T X 0 0 X X X X 0 1 X 0 0 Par exemple, pour la bascule J-K, pour obtenir la transition 0 à 1 il faut que l'entrée J soit dans l'état 1, quelque soit l'état de l'entrée K. J = K = 1 qui inverse l'état de la bascule ou J = 1 et K = 0 qui charge 1 dans la bascule. Une croix indique que l'état de l'entrée considérée est indifférent : 0 ou 1. Khaled Hassine 47 Généralité Présentation des bascules Analyse d un circuit séquentiel Conception d un circuit séquentiel Les registres et les compteurs Khaled Hassine 48 Cours Circuits Logiques 12

13 Démarche à suivre L analyse de circuit séquentiel (Analysis of clocked sequential circuits) consiste à trouver les équations caractéristiques ou les diagrammes temporels qui décrivent le travail du circuit séquentiel. En d autres termes, trouver les états futurs et les sorties du circuit séquentiel en fonction de ces entrées et ces états présents. On suit pour une démarche à 4 étapes Première et deuxième étape Première étape : Trouver les équations caractéristiques de chaque bascule qui montre l état futur de la bascule en fonction des entrées et l état des autres bascules du circuit. L ensemble des équations caractéristiques des différentes bascules s appelle équations d états (State equation). Deuxième étape : Trouver les équations des sorties en fonction des entrées et l état actuel des bascule. Khaled Hassine 49 Khaled Hassine 50 Troisième étape Trouver la table d état (dite aussi la table de vérité) du circuit qui contient 4 colonnes montrant l état actuel des bascules, les entrées (X 1, X 2,..., X n ), les états futures et les sorties. Entrées Etats présents Prochains états Les sorties X 1, X 2,..., X n A 1, A 2,..., A m A 1, A 2,..., A m Y 1, Y 2,..., Y l uatrième étape Trouver le diagramme de transition du circuit qui est une autre forme de la table d état qui permet de faciliter le suivi l état du circuit séquentiel et qui contient 2 m cercles représentant les différents états possibles des bascules et on relie entre elles par des liens montrant les conditions de passage d un état à un autre (les entrées suivies de / suivi des sorties). Khaled Hassine 51 Khaled Hassine 52 Cours Circuits Logiques 13

14 Exemple à base de bascule D et JK Première étape : équations caractéristiques des bascules X Deux bascules : la première A de type D et la deuxième B de type JK Une entrée X et une sortie Y. Khaled Hassine 53 CP D K J A B Y L entrée de la bascule A est : D A = B(X+A) L entrée de la bascule B est : J B X A K B X Les équations caractéristiques des bascules : A( t 1)() B X A B( t 1)() J B KB X A B XB () XA X A B XB XAB X BA XB XAB X AB XB X () AB B X AB X () A B X AB X ()()() A B X A B X A B Khaled Hassine 54 Deuxième étape L équation caractéristique de la sortie Y est : Y XA( t 1)( X1) B t Troisième étape : table d états du circuit A(t) B(t) X A(t+1) B(t+1) Y Khaled Hassine 55 Khaled Hassine 56 Cours Circuits Logiques 14

15 uatrième étape : Le diagramme d états Exemple à base de bascule RS X/Y 1/1 11 1/0 1/0 00 0/0 0/1 0/0 01 CP ' ' A B R S R S R A S A R B S B 0/1 1/1 10 X Y Khaled Hassine 57 Khaled Hassine 58 Première étape : équations caractéristiques des bascules Les entrées des bascules : R S A A R S B B X X B B X X A A Première étape : équations caractéristiques des bascules Les équations caractéristiques des bascules : ( t 1)(())()(()()) R S t X t X t t A A A A B B A (())(()())()()()() X t X t t X t X t t t B B A B A B A X (()())()() t t t t B A B A ( t 1)(()) R S t B B B B X ()(()()) t X t t A A B X ()() t t A B Khaled Hassine 59 Khaled Hassine 60 Cours Circuits Logiques 15

16 Deuxième étape L équation caractéristique de la sortie Y est : Y X A( t 1) Troisième étape : table d états du circuit A (t) B (t) X S A R A A (t+1) S B R B B (t+1) Y Khaled Hassine 61 Khaled Hassine 62 uatrième étape : Le diagramme d états PLAN X/Y 0/0 00 A B Généralité 1/1 1/1 Présentation des bascules 11 0/0 01 1/0 1/1 Analyse d un circuit séquentiel 0/0 Conception d un circuit séquentiel 10 0/0 Les registres et les compteurs Khaled Hassine 63 Khaled Hassine 64 Cours Circuits Logiques 16

17 Généralités Etapes de conception d un circuit séquentiel Lors de la conception d un circuit séquentiel, on part du diagramme d états ou la table d états pour obtenir le circuit séquentiel correspondant qui contient deux parties : une purement combinatoire un ensemble de bascule en nombre de log 2 ( N) (le plus petit entier supérieur ou égal - Least integer greater then or equal) où N est le nombre d états différents. Le type de bascule est déterminé en fonction du fonctionnement du circuit et en général on choisit : la bascule T ou JK pour la conception des compteurs, la bascule D pour la conception des registres et mémoire les bascules RS et JK pour les problèmes d ordre général. On préfère toujours l utilisation des bascules T et D pour leurs simplicités (ils disposent d'une seule entrée). Comprendre le fonctionnement du circuit et le traduire éventuellement en un diagramme de transition. Détermination de la table d état qui montre les états futurs et les sorties en fonction des entrées et des états présents. Réduction du nombre d états. Détermination du nombre de bascules nécessaires et donner un nom à chacune. Détermination du type de bascule et de la table d excitation du circuit. Détermination des équations d entrées des bascules et des équations de sortie. Conception (dessin) du circuit (du logigramme) désiré. Khaled Hassine 65 Khaled Hassine 66 Exemple Concevoir le circuit séquentiel du diagramme suivant : 0/0 X/Y 1/0 f 0/1 1/0 e 1/1 0/1 0/0 d 1/0 a 1/1 b 0/0 0/0 c 1/0 Table d états Etat présent X Etat suivant Y a 0 a 0 a 1 b 1 b 0 d 0 b 1 f 0 c 0 a 0 c 1 e 1 d 0 e 0 d 1 c 0 e 0 f 1 e 1 f 0 f 0 e 1 f 1 a 0 Khaled Hassine 67 Khaled Hassine 68 Cours Circuits Logiques 17

18 Détermination des bascules Nombres : Le diagramme d'état comporte 6 états, il nous faut donc 3 bascules notées C, B et A (C de poids fort et A de poids faible). On désigne l'état a par 000 et f par 101. Types : On a certainement besoin d'un élément logique qui a la capacité de complémentation. Cet élément peut être l'une de deux bascules T ou JK. On choisit la bascule T, elle est la plus simple puisqu'elle nécessite une seule entrée. Table d excitation Etat présent Etat futur Excitation bascules X C n B n A n C n+1 B n+1 A n+1 T C T B T A Y 0 a a a b b d b f c a c e d e d c e f e f f e f a Khaled Hassine 69 Khaled Hassine 70 Equations d entrées des bascules et les équations de sortie Pour les bascules A et B B A X C B A X C X X X X X X X X T X TB B X CA A A B C B A équations d entrées des bascules et les équations de sortie Pour la bascule C et la sortie Y B A X C B A X C X X X X X X X X T X X X C A B A B B A X() X A B A B Y X X C C A Khaled Hassine 71 Khaled Hassine 72 Cours Circuits Logiques 18

19 Conception du circuit PLAN Y XC B X C A T A X AB C B CB TB B C X CA TC XAB CB ( A X ) A Généralité Présentation des bascules Analyse d un circuit séquentiel Conception d un circuit séquentiel Les registres et les compteurs Khaled Hassine 73 Khaled Hassine 74 Définition d un registre Définition d un compteur Les informations et les résultats intermédiaires manipulés par l'unité centrale sont rangés dans des dispositifs (modules de mémorisation internes au processeur) appelés registres. Ces derniers représentent l'unité de base manipulée par l'unité centrale. En particulier, aucune opération ne se fait directement sur les cellules mémoire. Celles-ci sont recopiées dans des registres avant d'être traitées par le processeur. Les registres permettent, en effet, de ranger les instructions, les adresses, les données ou les résultats issus de l'unité de traitement. Un cas particulier des registres est le compteur. Un compteur est un registre qui change d'état séquentiellement sous l'effet d'une impulsion d'entrée. Cette impulsion peut provenir d'un générateur d'impulsions (Clock Pulse) ou d'une autre source externe, à des intervalles de temps réguliers ou aléatoirement. Les compteurs sont très utiles dans un ordinateur, en particulier pour le séquencement des instructions exécutées. Khaled Hassine 75 Khaled Hassine 76 Cours Circuits Logiques 19

20 Exemples Conception d un compteur Conception d un décompteur Conception d un registre Conception d un compteur binaire synchrone On souhaite concevoir un compteur binaire 3 bits qui compte donc de 0 à 7. C est un compteur complet. On dispose pour ce faire, de trois bascules A 0, A 1, A 2 (A 0 est celle de poids faible). Khaled Hassine 77 Khaled Hassine 78 Conditions de complémentation des bascules au prochain compte Observations Etat présent (t) Etat futur (t+1) A 2 A 1 A 0 A 2 A 1 A A 0 doit passer de 0 à A 0 et A 1 changent d'état A 0 doit passer de 0 à Toutes les bascules changent d'état Seule A 0 change d'état A 0 et A 1 changent d'état A 0 doit passer de 0 à Toutes les bascules changent d'état. On a certainement besoin d'un élément logique qui a la capacité de complémentation. Cet élément peut être l'une de deux bascules T ou JK. On choisit la bascule T, elle est la plus simple puisqu'elle nécessite une variable d'entrée. Le bit le moins significatif est complémenté à chaque impulsion d'horloge. L'entrée de la bascule contenant le bit de poids faible (A 0 ) doit être donc maintenu constamment à 1. Chacun des autres bits est complémenté au prochain top d'horloge si tous les bits moins significatifs qui le précèdent sont à l'état 1. L'entrée de la bascule A 1 est donc A 0 et celle de A 2 est le couple A 0 A 1 relié par une porte ET. Khaled Hassine 79 Khaled Hassine 80 Cours Circuits Logiques 20

21 Table d excitation Etat présent (t) Etat futur (t+1) Entrée des bascules A 2 A 1 A 0 A 2 A 1 A 0 T 2 T 1 T Khaled Hassine 81 Logigramme A 1 A A 1 A 0 A 2 A CP T1 A 0 T2 A1 A0 ' T ' A 2 A 1 A 0 Khaled Hassine 82 T ' T 1 Exemples Conception d un compteur Conception d un décompteur Conception d un registre Décompteur Dans un décompteur, aussi dit compteur binaire à rebours (Binary Down Counter), le compte ou le contenu du compteur est réduit (décrémenté) de 1 à chaque nouvelle impulsion. On s'intéresse à la conception d'un décompteur 4 bits. L'état de départ est toutes les bascules à 1 (soit 1111= 15). Par la suite, à chaque nouvelle impulsion, la valeur numérique contenue dans le décompteur décroît. Ainsi, les états binaires successifs parcourus sont : 14, 13,... Khaled Hassine 83 Khaled Hassine 84 Cours Circuits Logiques 21

22 Evolution du décompteur Observations Etat présent Etat futur Observations A 3 A 2 A 1 A 0 A 3 A 2 A 1 A A 0 doit passer de 0 à A 0 et A 1 changent d'état A 0 doit passer de 0 à Toutes les bascules changent d'état Seule A 0 change d'état A 0 et A 1 changent d'état A 0 doit passer de 0 à Toutes les bascules changent d'état A 0 doit passer de 0 à A 0 et A 1 changent d'état A 0 doit passer de 0 à Toutes les bascules changent d'état Seule A 0 change d'état A 0 et A 1 changent d'état A 0 doit passer de 0 à Toutes les bascules changent d'état. Khaled Hassine 85 Comme pour le compteur, on a besoin d'un élément logique qui a la capacité de complémentation, soit la bascule T. Le bit le moins significatif est complémenté à chaque impulsion d'horloge. L'entrée de la bascule contenant le bit de poids faible (A 0 ) doit donc maintenue constamment à 1. Chacun des autres bits est complémenté au prochain top d'horloge si tous les bits moins significatifs que lui sont à l'état 0. L'entrée de la bascule A 1 est A' 0 et celle de A 2 et le couple A' 0 A' 1 relié par une porte ET. L'entrée de A 3 et le couple A' 0 A' 1 A' 2 relié par une porte ET. Khaled Hassine 86 Table d excitation Equations d entrée des bascules A 3 A 2 A 1 A 0 A 3 A 2 A 1 A 0 TA 3 TA 2 TA 1 TA Khaled Hassine 87 B A D C B A D C T A 1 TB A B A B A D C D C T TD C B A C B A Khaled Hassine 88 Cours Circuits Logiques 22

23 Logigramme d un décompteur Compteur décompteur ' ' ' ' A 3 A2 A 1 A 0 T T T T CP Count Khaled Hassine 89 Khaled Hassine 90 Compteur décompteur Exemples Conception d un compteur Conception d un décompteur Conception d un registre Khaled Hassine 91 Khaled Hassine 92 Cours Circuits Logiques 23

24 Conception des registres Schéma conceptuel d un registre Les opérations possibles effectuées sur les registres sont : le chargement En parallèle : tous les bits de l'information sont chargés simultanément. En série : l'information se présente "bit après bit" sur le bit de poids fort ou celui de poids faible pour être chargée en n cycles d'horloge (n est la taille du registre) par décalages successifs. le décalage : un registre capable de décaler l'information binaire qu'il contient, aussi bien à gauche qu'à droite, est appelé registre à décalage ou à glissement. Ces opérations sont sélectionnées par l'intermédiaire d'une commande dite mode. Une fois le mode choisi, la commande est synchronisée par le signal d Horloge. Entrée série Horloge Sens Initialisation Mode Entrée parallèle Les différentes entrées de commande pilotant les registres sont les suivantes : Signal de commande ou signal d'horloge. Mode de fonctionnement (décalage ou chargement). Sens de décalage (droite ou gauche) ou de chargement (série ou parallèle). Éventuellement, des mises en conditions initiales telle que la remise à zéro du contenu du registre. Entrée série Khaled Hassine 93 Khaled Hassine 94 Composition d un registre Exemple d un registre à décalage 3 bits Un registre de taille n bits se compose de n bascules et des portes qui régissent et contrôle l'opération de décalage. Bien que les ordinateurs fonctionnent généralement en mode parallèle (chargement de tous les bits en un top d'horloge), ils utilisent aussi les opérations de décalage pour des opérations particulières (multiplication et division en particulier) qui sont des opérations en série. Considérons à titre d'exemple, un registre à décalage 4 bits. On souhaite stocker l'information binaire 1011 par des décalages successifs à droite. Pour cela, A chaque impulsion d'horloge CP, un bit est amené par l'entrée en série et en même temps le bit le plus à droite est extrait de la ligne de sortie en série. Avec la même impulsion, les autres bits du registre sont décalés à droite d'une position. Après la quatrième impulsion, l'information binaire 1011 est introduite dans le registre. Afin d'obtenir un registre disposant des opérations suivantes : décalage à droite (DD), décalage à gauche (DG), chargement parallèle (ChPa) et pas de changement (PaCh), il suffit d'utiliser un décodeur 2x4 permettant de coder ces quatre opérations. Une entrée Clear pour la mise à zéro de toutes les bascules du registre. Une entrée CP de l'horloge de synchronisation. Une entrée série pour les décalages à droite (SR : Shift Right), connectée à la bascule la plus à gauche (A 2 ) et dont le rôle est de supporter le bit à insérer lors d'une opération de décalage à droite. Une entrée série pour le décalage à gauche (SL : Shift Left), connectée à la bascule la plus à droite (A 0 ) et dont le rôle est de supporter le bit à insérer lors d'une opération de décalage à gauche. n lignes de sorties parallèles, une par bascule du registre permettant d'extraire son contenu instantanément, les sorties des différentes bascules. n lignes d'entrées parallèles, une par bascule du registre, notées I 0, I 1, I 2, permettant son éventuel chargement en parallèle. Khaled Hassine 95 Khaled Hassine 96 Cours Circuits Logiques 24

25 ' ' ' A 2 A 1 A 0 D D D C lear C P S R I2 I 1 I 0 S L P ac h D D D G C hp a F 0 F 1 Khaled Hassine 97 D écod eu r 2 x 4 Khaled Hassine 98 Cours Circuits Logiques 25

VIII- Circuits séquentiels. Mémoires

VIII- Circuits séquentiels. Mémoires 1 VIII- Circuits séquentiels. Mémoires Maintenant le temps va intervenir. Nous avions déjà indiqué que la traversée d une porte ne se faisait pas instantanément et qu il fallait en tenir compte, notamment

Plus en détail

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE I/ GÉNÉRALITÉS I.1/ Fonction Un compteur binaire est utilisé : -pour compter un certain nombre d'évènements binaires -pour diviser la fréquence d'un signal logique par 2 m Page 1 FONCTION COMPTAGE BINAIRE

Plus en détail

IFT1215 Introduction aux systèmes informatiques

IFT1215 Introduction aux systèmes informatiques Introduction aux circuits logiques de base IFT25 Architecture en couches Niveau 5 Niveau 4 Niveau 3 Niveau 2 Niveau Niveau Couche des langages d application Traduction (compilateur) Couche du langage d

Plus en détail

Système binaire. Algèbre booléenne

Système binaire. Algèbre booléenne Algèbre booléenne Système binaire Système digital qui emploie des signaux à deux valeurs uniques En général, les digits employés sont 0 et 1, qu'on appelle bits (binary digits) Avantages: on peut utiliser

Plus en détail

Logique binaire. Aujourd'hui, l'algèbre de Boole trouve de nombreuses applications en informatique et dans la conception des circuits électroniques.

Logique binaire. Aujourd'hui, l'algèbre de Boole trouve de nombreuses applications en informatique et dans la conception des circuits électroniques. Logique binaire I. L'algèbre de Boole L'algèbre de Boole est la partie des mathématiques, de la logique et de l'électronique qui s'intéresse aux opérations et aux fonctions sur les variables logiques.

Plus en détail

Les fonctions logiques

Les fonctions logiques 1 Les fonctions logiques Le fonctionnement des ordinateurs tout comme d autres appareils électroniques repose sur l emploi des circuits électroniques de logique binaire ou électronique numérique. Dans

Plus en détail

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits Architecture des ordinateurs TD1 - Portes logiques et premiers circuits 1 Rappel : un peu de logique Exercice 1.1 Remplir la table de vérité suivante : a b a + b ab a + b ab a b 0 0 0 1 1 0 1 1 Exercice

Plus en détail

Fiche technique CPU 314SC/DPM (314-6CG13)

Fiche technique CPU 314SC/DPM (314-6CG13) Fiche technique CPU 314SC/DPM (3146CG13) Données techniques N de commande 3146CG13 Type CPU 314SC/DPM Information générale Note Caractéristiques SPEEDBus Technologie SPEED7 24 x DI, 16 x DO, 8 x DIO, 4

Plus en détail

La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA)

La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA) La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA) I. L'intérêt de la conversion de données, problèmes et définitions associés. I.1. Définitions:

Plus en détail

Logique séquentielle

Logique séquentielle Bascules et logique séquentielle aniel Etiemble de@lri.fr Logique séquentielle Logique séquentielle Le système a des «états» ans un système séquentiel Éléments de mémorisation Les sorties dépendent des

Plus en détail

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview.

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. Sciences et Technologies de l Industrie et du Développement Durable Formation des enseignants parcours : ET24 Modèle de

Plus en détail

QUESTION 1 {2 points}

QUESTION 1 {2 points} ELE4301 Systèmes logiques II Page 1 de 8 QUESTION 1 {2 points} En se servant de paramètres électriques donnés dans le Tableau 1 ci-dessous, on désire déterminer la fréquence d opération du compteur présenté

Plus en détail

Université de La Rochelle. Réseaux TD n 6

Université de La Rochelle. Réseaux TD n 6 Réseaux TD n 6 Rappels : Théorème de Nyquist (ligne non bruitée) : Dmax = 2H log 2 V Théorème de Shannon (ligne bruitée) : C = H log 2 (1+ S/B) Relation entre débit binaire et rapidité de modulation :

Plus en détail

ELP 304 : Électronique Numérique. Cours 1 Introduction

ELP 304 : Électronique Numérique. Cours 1 Introduction ELP 304 : Électronique Numérique Cours 1 Introduction Catherine Douillard Dépt Électronique Les systèmes numériques : généralités (I) En électronique numérique, le codage des informations utilise deux

Plus en détail

SUR MODULE CAMÉRA C38A (OV7620)

SUR MODULE CAMÉRA C38A (OV7620) Applications maquette d'étude EP10K20 DÉMULTIPLEXEUR BT.656 SUR MODULE CAMÉRA C38A OV7620 SCHÉMAS ET DESCRIPTIONS AHDL 1. Schéma principal Le démultiplexeur proprement dit est la fonction "Decod_BT656_1".

Plus en détail

Modules d automatismes simples

Modules d automatismes simples Modules d automatismes simples Solutions pour automatiser Modules d'automatismes Enfin, vraiment simple! Un concentré de solution Pour vos petites applications d'automatismes millenium gère : Temporisations

Plus en détail

RESUME DE COURS ET CAHIER D'EXERCICES

RESUME DE COURS ET CAHIER D'EXERCICES ARCITECTURE INFO-UP REUME DE COUR ET CAIER D'EXERCICE EPITA F. GABON Architecture EPITA INFO-UP F. Gabon COUR LIVRE D ARCITECTURE 3 REUME D'ELECTRONIUE LOGIUE 4 YTEME DE NUMERATION 6 ALGEBRE DE BOOLE 6

Plus en détail

Auto formation à Zelio logic

Auto formation à Zelio logic Auto formation à Zelio logic 1 Les Produits Félicitations, vous avez choisi l'un des produits Zelio 2 suivants : 2 Environnement Le Zelio Logic est programmable à l'aide du logiciel Zelio Soft ou en Saisie

Plus en détail

Transmissions série et parallèle

Transmissions série et parallèle 1. Introduction : Un signal numérique transmet généralement plusieurs digits binaires. Exemple : 01000001 ( huit bits). Dans une transmission numérique on peut envisager deux modes : les envoyer tous en

Plus en détail

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd UE 503 L3 MIAGE Initiation Réseau et Programmation Web La couche physique A. Belaïd abelaid@loria.fr http://www.loria.fr/~abelaid/ Année Universitaire 2011/2012 2 Le Modèle OSI La couche physique ou le

Plus en détail

CHAPITRE VI ALEAS. 6.1.Généralités.

CHAPITRE VI ALEAS. 6.1.Généralités. CHAPITRE VI ALEAS 6.1.Généralités. Lors de la synthèse des systèmes logique (combinatoires ou séquentiels), nous avons supposé, implicitement, qu une même variable secondaire avait toujours la même valeur

Plus en détail

J AUVRAY Systèmes Electroniques TRANSMISSION DES SIGNAUX NUMERIQUES : SIGNAUX EN BANDE DE BASE

J AUVRAY Systèmes Electroniques TRANSMISSION DES SIGNAUX NUMERIQUES : SIGNAUX EN BANDE DE BASE RANSMISSION DES SIGNAUX NUMERIQUES : SIGNAUX EN BANDE DE BASE Un message numérique est une suite de nombres que l on considérera dans un premier temps comme indépendants.ils sont codés le plus souvent

Plus en détail

Chapitre 4 : Les mémoires

Chapitre 4 : Les mémoires 1. Introduction: Chapitre 4 : Les mémoires Nous savons que dans un ordinateur toutes les informations : valeur numérique, instruction, adresse, symbole (chiffre, lettre,... etc.) sont manipulées sous une

Plus en détail

Les opérations binaires

Les opérations binaires Les opérations binaires Compétences associées A2 : Analyser et interpréter une information numérique Objectifs Etre capable: - De coder les nombres entiers en code complément à 2. - De résoudre les opérations

Plus en détail

MPI Activité.10 : Logique binaire Portes logiques

MPI Activité.10 : Logique binaire Portes logiques MPI Activité.10 : Logique binaire Portes logiques I. Introduction De nombreux domaines font appel aux circuits logiques de commutation : non seulement l'informatique, mais aussi les technologies de l'asservissement

Plus en détail

Cours de Programmation en Langage Synchrone SIGNAL. Bernard HOUSSAIS IRISA. Équipe ESPRESSO

Cours de Programmation en Langage Synchrone SIGNAL. Bernard HOUSSAIS IRISA. Équipe ESPRESSO Cours de Programmation en Langage Synchrone SIGNAL Bernard HOUSSAIS IRISA. Équipe ESPRESSO 24 septembre 2004 TABLE DES MATIÈRES 3 Table des matières 1 Introduction 5 1.1 La Programmation Temps Réel.........................

Plus en détail

Fiche technique CPU 315SN/PN (315-4PN33)

Fiche technique CPU 315SN/PN (315-4PN33) Fiche technique CPU 315SN/PN (315-4PN33) Données techniques N de commande 315-4PN33 Information générale Note - Caractéristiques SPEED-Bus - Données techniques de l'alimentation Alimentation (valeur nominale)

Plus en détail

Manipulations du laboratoire

Manipulations du laboratoire Manipulations du laboratoire 1 Matériel Les manipulations de ce laboratoire sont réalisées sur une carte électronique comprenant un compteur 4-bit asynchrone (74LS93) avec possibilité de déclenchement

Plus en détail

MYOSOTIS. Logiciel de supervision et de conduite de réseau NC. 107/2B

MYOSOTIS. Logiciel de supervision et de conduite de réseau NC. 107/2B La protection électrique en toute sérénité MYOSOTIS NC. 107/2B Logiciel de supervision et de conduite de réseau Le logiciel MYOSOTIS permet la supervision et la conduite d'un réseau électrique d'usine

Plus en détail

3. SPÉCIFICATIONS DU LOGICIEL. de l'expression des besoins à la conception. Spécifications fonctionnelles Analyse fonctionnelle et méthodes

3. SPÉCIFICATIONS DU LOGICIEL. de l'expression des besoins à la conception. Spécifications fonctionnelles Analyse fonctionnelle et méthodes PLAN CYCLE DE VIE D'UN LOGICIEL EXPRESSION DES BESOINS SPÉCIFICATIONS DU LOGICIEL CONCEPTION DU LOGICIEL LA PROGRAMMATION TESTS ET MISE AU POINT DOCUMENTATION CONCLUSION C.Crochepeyre Génie Logiciel Diapason

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot Année universitaire 2014-2015 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 Codage des nombres en base 2, logique

Plus en détail

2.1 Le point mémoire statique Le point mémoire statique est fondé sur le bistable, dessiné de manière différente en Figure 1.

2.1 Le point mémoire statique Le point mémoire statique est fondé sur le bistable, dessiné de manière différente en Figure 1. Mémoires RAM 1. LOGIUE STATIUE ET LOGIUE DYNAMIUE Le point mémoire est l élément de base, capable de mémoriser un bit. Il y a deux approches possibles. L approche statique est fondée sur la l'utilisation

Plus en détail

DU BINAIRE AU MICROPROCESSEUR - D ANGELIS CIRCUITS CONFIGURABLES NOTION DE PROGRAMMATION

DU BINAIRE AU MICROPROCESSEUR - D ANGELIS CIRCUITS CONFIGURABLES NOTION DE PROGRAMMATION 145 NOTION DE PROGRAMMATION 1/ Complétons notre microprocesseur Nous avons, dans les leçons précédentes décrit un microprocesseur théorique, cependant il s inspire du 6800, premier microprocesseur conçu

Plus en détail

Chap 4: Analyse syntaxique. Prof. M.D. RAHMANI Compilation SMI- S5 2013/14 1

Chap 4: Analyse syntaxique. Prof. M.D. RAHMANI Compilation SMI- S5 2013/14 1 Chap 4: Analyse syntaxique 1 III- L'analyse syntaxique: 1- Le rôle d'un analyseur syntaxique 2- Grammaires non contextuelles 3- Ecriture d'une grammaire 4- Les méthodes d'analyse 5- L'analyse LL(1) 6-

Plus en détail

Tout savoir sur le matériel informatique

Tout savoir sur le matériel informatique Tout savoir sur le matériel informatique Thème de l exposé : Les Processeurs Date : 05 Novembre 2010 Orateurs : Hugo VIAL-JAIME Jérémy RAMBAUD Sommaire : 1. Introduction... 3 2. Historique... 4 3. Relation

Plus en détail

MICROINFORMATIQUE NOTE D APPLICATION 1 (REV. 2011) ARITHMETIQUE EN ASSEMBLEUR ET EN C

MICROINFORMATIQUE NOTE D APPLICATION 1 (REV. 2011) ARITHMETIQUE EN ASSEMBLEUR ET EN C Haute Ecole d Ingénierie et de Gestion Du Canton du Vaud MICROINFORMATIQUE NOTE D APPLICATION 1 (REV. 2011) ARITHMETIQUE EN ASSEMBLEUR ET EN C Programmation en mode simulation 1. DOCUMENTS DE RÉFÉRENCE...

Plus en détail

VOCALYS LITE. www.adetec.com

VOCALYS LITE. www.adetec.com VOCALYS LITE www.adetec.com Sommaire GENERALITES 1 Présentation Caractéristiques techniques RACCORDEMENTS 2 Ligne téléphonique 2 Autoprotection 3 Entrées 3 Sorties 4 UTILISATION 5 Marche / Arrêt du transmetteur

Plus en détail

CONFIGURATION DE L AUTOMATE SIEMENS

CONFIGURATION DE L AUTOMATE SIEMENS CONFIGURATION DE L AUTOMATE SIEMENS Créer un projet Dans le bureau de Windows, double-cliquer sur l icône «SIMATIC Manager» : Cliquer ensuite sur l icône «nouveau» : Choisir un nom de projet et valider

Plus en détail

I- Définitions des signaux.

I- Définitions des signaux. 101011011100 010110101010 101110101101 100101010101 Du compact-disc, au DVD, en passant par l appareil photo numérique, le scanner, et télévision numérique, le numérique a fait une entrée progressive mais

Plus en détail

- Instrumentation numérique -

- Instrumentation numérique - - Instrumentation numérique - I.Présentation du signal numérique. I.1. Définition des différents types de signaux. Signal analogique: Un signal analogique a son amplitude qui varie de façon continue au

Plus en détail

SOCIETE NATIONALE DES CHEMINS DE FER BELGES SPECIFICATION TECHNIQUE

SOCIETE NATIONALE DES CHEMINS DE FER BELGES SPECIFICATION TECHNIQUE SOCIETE NATIONALE DES CHEMINS DE FER BELGES SPECIFICATION TECHNIQUE S - 16 FONCTIONNALITES DE LA SONORISATION ET LES ALARMES POUR LE MATERIEL DESTINE AUX VOYAGEURS EDITION : 12/2000 Index 1. GENERALITES...3

Plus en détail

GPA770 Microélectronique appliquée Exercices série A

GPA770 Microélectronique appliquée Exercices série A GPA770 Microélectronique appliquée Exercices série A 1. Effectuez les calculs suivants sur des nombres binaires en complément à avec une représentation de 8 bits. Est-ce qu il y a débordement en complément

Plus en détail

Mini_guide_Isis.pdf le 23/09/2001 Page 1/14

Mini_guide_Isis.pdf le 23/09/2001 Page 1/14 1 Démarrer...2 1.1 L écran Isis...2 1.2 La boite à outils...2 1.2.1 Mode principal...3 1.2.2 Mode gadgets...3 1.2.3 Mode graphique...3 2 Quelques actions...4 2.1 Ouvrir un document existant...4 2.2 Sélectionner

Plus en détail

2-2. Dimensions externes 113±0.2 P P R±??? 3. FONCTIONS ET TERMINOLOGIE. Sortie prédéfinie. Sortie arrêt du vérin. Type de sortie prédéfinie

2-2. Dimensions externes 113±0.2 P P R±??? 3. FONCTIONS ET TERMINOLOGIE. Sortie prédéfinie. Sortie arrêt du vérin. Type de sortie prédéfinie CEU5-TFJFR-A. Séparation des câbles de signal du câble d alimentation électrique Evitez un câblage commun ou parallèle du signal et des câbles d alimentation afin d éviter un dysfonctionnement en raison

Plus en détail

LA MESURE INDUSTRIELLE

LA MESURE INDUSTRIELLE E02 LA MESURE INDUSTRIELLE 20 Heures Technicien responsable de la maintenance Approfondir les techniques de mesure; Prendre en compte l aspect métrologie. Connaître les limites et les facteurs d influences

Plus en détail

". TY convertisseur statique, et des condensateurs de filtrage.

. TY convertisseur statique, et des condensateurs de filtrage. curopaiscnes raiemamt European Patent Office Office européen des brevets Numéro de publication : 0 267 129 A1 (g) DEMANDE DE BREVET EUROPEEN (21) Numéro de dépôt: 87420286.4 @ Date de dépôt: 23.10.87 Int.

Plus en détail

TP Modulation Démodulation BPSK

TP Modulation Démodulation BPSK I- INTRODUCTION : TP Modulation Démodulation BPSK La modulation BPSK est une modulation de phase (Phase Shift Keying = saut discret de phase) par signal numérique binaire (Binary). La phase d une porteuse

Plus en détail

Informatique Générale

Informatique Générale Informatique Générale Guillaume Hutzler Laboratoire IBISC (Informatique Biologie Intégrative et Systèmes Complexes) guillaume.hutzler@ibisc.univ-evry.fr Cours Dokeos 625 http://www.ens.univ-evry.fr/modx/dokeos.html

Plus en détail

Algèbre binaire et Circuits logiques (2007-2008)

Algèbre binaire et Circuits logiques (2007-2008) Université Mohammed V Faculté des Sciences Département de Mathématiques et Informatique Filière : SMI Algèbre binaire et Circuits logiques (27-28) Prof. Abdelhakim El Imrani Plan. Algèbre de Boole 2. Circuits

Plus en détail

Représentation d un entier en base b

Représentation d un entier en base b Représentation d un entier en base b 13 octobre 2012 1 Prérequis Les bases de la programmation en langage sont supposées avoir été travaillées L écriture en base b d un entier est ainsi défini à partir

Plus en détail

BACCALAURÉAT GÉNÉRAL SÉRIE SCIENTIFIQUE

BACCALAURÉAT GÉNÉRAL SÉRIE SCIENTIFIQUE BACCALAURÉAT GÉNÉRAL SÉRIE SCIENTIFIQUE ÉPREUVE DE SCIENCES DE L INGÉNIEUR ÉPREUVE DU VENDREDI 20 JUIN 2014 Session 2014 Durée de l épreuve : 4 heures Coefficient 4,5 pour les candidats ayant choisi un

Plus en détail

TD Architecture des ordinateurs. Jean-Luc Dekeyser

TD Architecture des ordinateurs. Jean-Luc Dekeyser TD Architecture des ordinateurs Jean-Luc Dekeyser Fiche 1 Nombres de l informatique Exercice 1 Une entreprise désire réaliser la sauvegarde de ses données sur un site distant. Le volume de données à sauvegarder

Plus en détail

Eléments de spécification des systèmes temps réel Pierre-Yves Duval (cppm)

Eléments de spécification des systèmes temps réel Pierre-Yves Duval (cppm) Eléments de spécification des systèmes temps réel Pierre-Yves Duval (cppm) Ecole d informatique temps réel - La Londes les Maures 7-11 Octobre 2002 - Evénements et architectures - Spécifications de performances

Plus en détail

Module de mesure de courant pour relais statiques serie HD Module de mesure de courant HD D0340I

Module de mesure de courant pour relais statiques serie HD Module de mesure de courant HD D0340I Plage de tension de commande: 4... 30 VDC Plage de courant de charge: 2 A... 40 A Controle permanent de courant Apprentissage du courant de consigne par bouton poussoir ou par entrée externe Seuil d'alarme

Plus en détail

Acquisition et conditionnement de l information Les capteurs

Acquisition et conditionnement de l information Les capteurs Acquisition et conditionnement de l information Les capteurs COURS 1. Exemple d une chaîne d acquisition d une information L'acquisition de la grandeur physique est réalisée par un capteur qui traduit

Plus en détail

0 20mV; 0 40mV; 0 80mV; 0 160mV; 0 320mV; 0 640mV; 0 1,28V; 0 2,56V 0 5V; 0 10V

0 20mV; 0 40mV; 0 80mV; 0 160mV; 0 320mV; 0 640mV; 0 1,28V; 0 2,56V 0 5V; 0 10V ITM carte d entrèes analogues INTERFACES DE PROCES La carte ITM a 8 isolées entrées analogiques, chaque canal a un 16 bit A/N convertisseurs, avec une haute stabilité et une très haute rapport de réjection

Plus en détail

Matériel & Logiciels (Hardware & Software)

Matériel & Logiciels (Hardware & Software) CHAPITRE 2 HARDWARE & SOFTWARE P. 1 Chapitre 2 Matériel & Logiciels (Hardware & Software) 2.1 Matériel (Hardware) 2.1.1 Présentation de l'ordinateur Un ordinateur est un ensemble de circuits électronique

Plus en détail

BAREME sur 40 points. Informatique - session 2 - Master de psychologie 2006/2007

BAREME sur 40 points. Informatique - session 2 - Master de psychologie 2006/2007 BAREME ur 40 point Informatique - eion 2 - Mater de pychologie 2006/2007 Bae de donnée PRET de MATERIEL AUDIO VISUEL. Remarque : Le ujet comporte 7 page. Vérifier qu il et complet avant de commencer. Une

Plus en détail

REALISATION d'un. ORDONNANCEUR à ECHEANCES

REALISATION d'un. ORDONNANCEUR à ECHEANCES REALISATION d'un ORDONNANCEUR à ECHEANCES I- PRÉSENTATION... 3 II. DESCRIPTION DU NOYAU ORIGINEL... 4 II.1- ARCHITECTURE... 4 II.2 - SERVICES... 4 III. IMPLÉMENTATION DE L'ORDONNANCEUR À ÉCHÉANCES... 6

Plus en détail

Conception et réalisation d'une pédale MIDI

Conception et réalisation d'une pédale MIDI Conception et réalisation d'une pédale MIDI Origine et historique du projet: Plusieurs dizaines de lycéens de Porto-Vecchio adhèrent au club musique du foyer socio-éducatif et se retrouvent à l'heure du

Plus en détail

Ordinateurs, Structure et Applications

Ordinateurs, Structure et Applications Ordinateurs, Structure et Applications Cours 10, Les interruptions Etienne Tremblay Université Laval, Hiver 2012 Cours 10, p.1 Les interruptions du 8086 Une interruption interrompt l exécution séquentielle

Plus en détail

Série D65/D75/D72 Afficheurs digitaux modulaires

Série D65/D75/D72 Afficheurs digitaux modulaires Série D65/D75/D72 Afficheurs digitaux modulaires Afficheurs digitaux modulaires Afficheurs digitaux individuels La série D65/D75/D72 représente une vaste gamme de modules d affichage numériques, hexadécimaux

Plus en détail

ARCHITECTURE CONSTITUTION D'UN API

ARCHITECTURE CONSTITUTION D'UN API Nom : 1 / 17 Sommaire GENERALITES... 1 ARCHITECTURE CONSTITUTION D'UN API... 1 FONCTIONNEMENT DE L API... 6 PROGRAMMATION... 8 SECURITE DE L API... 10 RACCORDEMENT AUTOMATE... 11 LES AUTOMATES ET LA COMMUNICATION...

Plus en détail

Caractéristiques principales : 1. Prise en charge des réseaux GSM et PSTN 2. Quadri-bande : 850/900/1 800/1 900 MHz 3. Enregistrement vocal sur 2

Caractéristiques principales : 1. Prise en charge des réseaux GSM et PSTN 2. Quadri-bande : 850/900/1 800/1 900 MHz 3. Enregistrement vocal sur 2 ARTICLE : GSM-4IN Caractéristiques principales : 1. Prise en charge des réseaux GSM et PSTN 2. Quadri-bande : 850/900/1 800/1 900 MHz 3. Enregistrement vocal sur 2 sections (chacune de 1 minute maximum)

Plus en détail

Arithmétique binaire. Chapitre. 5.1 Notions. 5.1.1 Bit. 5.1.2 Mot

Arithmétique binaire. Chapitre. 5.1 Notions. 5.1.1 Bit. 5.1.2 Mot Chapitre 5 Arithmétique binaire L es codes sont manipulés au quotidien sans qu on s en rende compte, et leur compréhension est quasi instinctive. Le seul fait de lire fait appel au codage alphabétique,

Plus en détail

MODELES DE DUREE DE VIE

MODELES DE DUREE DE VIE MODELES DE DUREE DE VIE Cours 1 : Introduction I- Contexte et définitions II- Les données III- Caractéristiques d intérêt IV- Evènements non renouvelables/renouvelables (unique/répété) I- Contexte et définitions

Plus en détail

Millenium3 Atelier de programmation

Millenium3 Atelier de programmation Millenium3 Millenium 3 Millenium3 1. Aide en ligne CLSM3... 2 1.1 Présentation de l'atelier de programmation... 2 1.1.1 Présentation de l'atelier de programmation... 2 1.2 Comment débuter avec l'atelier

Plus en détail

JA-63 Profi Manuel utilisateur

JA-63 Profi Manuel utilisateur JA-63 Profi Manuel utilisateur Pod Skalkou 33 466 01 J ablonec nad N isou Czech Republic Tel.: +420 483 559 999 fax: +420 483 559 993 Internet: www.jablotron.cz 29/A rue Ernest Solvay B 4000 LIEGE 042242414

Plus en détail

Architectures haute disponibilité avec MySQL. Olivier Olivier DASINI DASINI - - http://dasini.net/blog

Architectures haute disponibilité avec MySQL. Olivier Olivier DASINI DASINI - - http://dasini.net/blog Architectures haute disponibilité avec MySQL Architectures Architectures haute disponibilité haute disponibilité avec MySQL avec MySQL Olivier Olivier DASINI DASINI - - http://dasini.net/blog Forum PHP

Plus en détail

Vers l'ordinateur quantique

Vers l'ordinateur quantique Cours A&G Vers l'ordinateur quantique Données innies On a vu dans les chapîtres précédents qu'un automate permet de représenter de manière nie (et même compacte) une innité de données. En eet, un automate

Plus en détail

CREATION D UNE EVALUATION AVEC JADE par Patrick RUER (www.mathenvideo.comuv.com)

CREATION D UNE EVALUATION AVEC JADE par Patrick RUER (www.mathenvideo.comuv.com) TABLE DES MATIERES I) Le logiciel JADE 2 II) Etablissements 3 1) Configuation de l établissement 3 2) Importation des classes avec SCONET 4 3) Les groupes d élèves 6 4) Les variables supplémentaires 6

Plus en détail

Le Millenium 3 pour les nuls!! Phase 2 : Les blocs fonction

Le Millenium 3 pour les nuls!! Phase 2 : Les blocs fonction Le Millenium 3 pour les nuls!! Phase 2 : Les blocs fonction Document rédigé par Pascal Bigot pour le site et le forum APPER Introduction : Le premier tutoriel vous a normalement permis de prendre en main

Plus en détail

Mini_guide_Isis_v6.doc le 10/02/2005 Page 1/15

Mini_guide_Isis_v6.doc le 10/02/2005 Page 1/15 1 Démarrer... 2 1.1 L écran Isis... 2 1.2 Les barres d outils... 3 1.2.1 Les outils d édition... 3 1.2.2 Les outils de sélection de mode... 4 1.2.3 Les outils d orientation... 4 2 Quelques actions... 5

Plus en détail

Le multiplexage. Sommaire

Le multiplexage. Sommaire Sommaire Table des matières 1- GENERALITES... 2 1-1 Introduction... 2 1-2 Multiplexage... 4 1-3 Transmission numérique... 5 2- LA NUMERATION HEXADECIMALE Base 16... 8 3- ARCHITECTURE ET PROTOCOLE DES RESEAUX...

Plus en détail

TEPZZ 568448A_T EP 2 568 448 A1 (19) (11) EP 2 568 448 A1 (12) DEMANDE DE BREVET EUROPEEN. (51) Int Cl.: G07F 7/08 (2006.01) G06K 19/077 (2006.

TEPZZ 568448A_T EP 2 568 448 A1 (19) (11) EP 2 568 448 A1 (12) DEMANDE DE BREVET EUROPEEN. (51) Int Cl.: G07F 7/08 (2006.01) G06K 19/077 (2006. (19) TEPZZ 68448A_T (11) EP 2 68 448 A1 (12) DEMANDE DE BREVET EUROPEEN (43) Date de publication: 13.03.2013 Bulletin 2013/11 (1) Int Cl.: G07F 7/08 (2006.01) G06K 19/077 (2006.01) (21) Numéro de dépôt:

Plus en détail

CONFIGURATION ET UTILISATION

CONFIGURATION ET UTILISATION COMPARATEUR DE CODE GRAY POUR CODEURS A SORTIES PARALLELES CONFIGURATION ET UTILISATION CCN165 LOREME 12, rue des Potiers d'etain Actipole BORNY - B.P. 35014-57071 METZ CEDEX 3 Téléphone 03.87.76.32.51

Plus en détail

KL5121. Pour activer des sorties en fonction de la position d'un codeur

KL5121. Pour activer des sorties en fonction de la position d'un codeur KL5121 Pour activer des sorties en fonction de la position d'un codeur VERSION : 1.0 / PH DATE : 07 Février 2006 Sommaire Ce manuel explique de manière pratique les étapes successives pour mettre en œuvre

Plus en détail

ELEC2753 Electrotechnique examen du 11/06/2012

ELEC2753 Electrotechnique examen du 11/06/2012 ELEC2753 Electrotechnique examen du 11/06/2012 Pour faciliter la correction et la surveillance, merci de répondre aux 3 questions sur des feuilles différentes et d'écrire immédiatement votre nom sur toutes

Plus en détail

Recherche dans un tableau

Recherche dans un tableau Chapitre 3 Recherche dans un tableau 3.1 Introduction 3.1.1 Tranche On appelle tranche de tableau, la donnée d'un tableau t et de deux indices a et b. On note cette tranche t.(a..b). Exemple 3.1 : 3 6

Plus en détail

Systemes d'exploitation des ordinateurs

Systemes d'exploitation des ordinateurs ! " #$ % $ &' ( $ plan_ch6_m1 Systemes d'exploitation des ordinateurs Conception de Systèmes de Gestion de la Mémoire Centrale Objectifs 1. Conception de systèmes paginés 2. Conception des systèmes segmentés

Plus en détail

Précision d un résultat et calculs d incertitudes

Précision d un résultat et calculs d incertitudes Précision d un résultat et calculs d incertitudes PSI* 2012-2013 Lycée Chaptal 3 Table des matières Table des matières 1. Présentation d un résultat numérique................................ 4 1.1 Notations.........................................................

Plus en détail

Thermomètre portable Type CTH6500

Thermomètre portable Type CTH6500 Etalonnage Thermomètre portable Type CTH6500 Fiche technique WIKA CT 55.10 Applications Etalonnage de thermomètres Mesure de température pour les besoins d'assurance qualité Mesures dans des applications

Plus en détail

Les diagrammes de modélisation

Les diagrammes de modélisation L approche Orientée Objet et UML 1 Plan du cours Introduction au Génie Logiciel L approche Orientée Objet et Notation UML Les diagrammes de modélisation Relations entre les différents diagrammes De l analyse

Plus en détail

Notice d'utilisation Afficheur multifonctions et système d'évaluation FX 360. Mode/Enter

Notice d'utilisation Afficheur multifonctions et système d'évaluation FX 360. Mode/Enter Notice d'utilisation Afficheur multifonctions et système d'évaluation FR FX 360 7390275 / 08 07 / 2009 Mode/Enter Set Consignes de sécurité Cette notice fait partie de l'appareil. Elle fournit des textes

Plus en détail

L AUTOMATISME LE SIGNAL

L AUTOMATISME LE SIGNAL L AUTOMATISME LE SIGNAL Page 1 sur 7 Sommaire : 1- Champ de l automatisme définitions 2- Correspondance entre phénomènes physiques et signaux a. Capteur b. Exemple de capteur TOR c. Exemple de capteur

Plus en détail

Livret - 1. Informatique : le matériel. --- Ordinateur, circuits, codage, système, réseau. Cours informatique programmation.

Livret - 1. Informatique : le matériel. --- Ordinateur, circuits, codage, système, réseau. Cours informatique programmation. Livret - 1 Informatique : le matériel --- Ordinateur, circuits, codage, système, réseau. RM di scala Cours informatique programmation Rm di Scala - http://www.discala.net SOMMAIRE Introduction 2 Notations

Plus en détail

UEO11 COURS/TD 1. nombres entiers et réels codés en mémoire centrale. Caractères alphabétiques et caractères spéciaux.

UEO11 COURS/TD 1. nombres entiers et réels codés en mémoire centrale. Caractères alphabétiques et caractères spéciaux. UEO11 COURS/TD 1 Contenu du semestre Cours et TDs sont intégrés L objectif de ce cours équivalent a 6h de cours, 10h de TD et 8h de TP est le suivant : - initiation à l algorithmique - notions de bases

Plus en détail

Haute-disponibilité et bases de données

Haute-disponibilité et bases de données PostgreSQLFr.org, le portail francophone des services autour de PostgreSQL Haute-disponibilité et bases de données Association PostgreSQLFr http://www.postgresqlfr.org Dr. Stéphane SCHILDKNECHT Président

Plus en détail

Cours d introduction à l informatique. Partie 2 : Comment écrire un algorithme? Qu est-ce qu une variable? Expressions et instructions

Cours d introduction à l informatique. Partie 2 : Comment écrire un algorithme? Qu est-ce qu une variable? Expressions et instructions Cours d introduction à l informatique Partie 2 : Comment écrire un algorithme? Qu est-ce qu une variable? Expressions et instructions Qu est-ce qu un Une recette de cuisine algorithme? Protocole expérimental

Plus en détail

Projet Active Object

Projet Active Object Projet Active Object TAO Livrable de conception et validation Romain GAIDIER Enseignant : M. Noël PLOUZEAU, ISTIC / IRISA Pierre-François LEFRANC Master 2 Informatique parcours MIAGE Méthodes Informatiques

Plus en détail

BeSpoon et l homme Connecté

BeSpoon et l homme Connecté BeSpoon et l homme Connecté Paris 25 et 26 Mars BeSpoon est une société «Fabless» qui a développé en collaboration avec le CEA-Leti un composant IR-UWB (Impulse Radio Ultra Wide Band) dédié à la localisation

Plus en détail

Organisation des Ordinateurs

Organisation des Ordinateurs Organisation des Ordinateurs Bernard Boigelot E-mail : boigelot@montefiore.ulg.ac.be URL : http://www.montefiore.ulg.ac.be/~boigelot/ http://www.montefiore.ulg.ac.be/~boigelot/cours/org/ 1 Chapitre 1 Les

Plus en détail

Guide de démarrage rapide du TruVision NVR 10

Guide de démarrage rapide du TruVision NVR 10 Guide de démarrage rapide du TruVision NVR 10 P/N 1072767B-FR REV 1.0 ISS 09OCT14 Copyright 2014 United Technologies Corporation. Interlogix fait partie d UTC Building & Industrial Systems, une unité de

Plus en détail

Créer le schéma relationnel d une base de données ACCESS

Créer le schéma relationnel d une base de données ACCESS Utilisation du SGBD ACCESS Polycopié réalisé par Chihab Hanachi et Jean-Marc Thévenin Créer le schéma relationnel d une base de données ACCESS GENERALITES SUR ACCESS... 1 A PROPOS DE L UTILISATION D ACCESS...

Plus en détail

Structures algébriques

Structures algébriques Structures algébriques 1. Lois de composition s Soit E un ensemble. Une loi de composition interne sur E est une application de E E dans E. Soient E et F deux ensembles. Une loi de composition externe

Plus en détail

L apprentissage automatique

L apprentissage automatique L apprentissage automatique L apprentissage automatique L'apprentissage automatique fait référence au développement, à l analyse et à l implémentation de méthodes qui permettent à une machine d évoluer

Plus en détail

Manuel d'utilisation. OctoBUS 64

Manuel d'utilisation. OctoBUS 64 Manuel d'utilisation OctoBUS 64 Responsabilités Garantie L'installateur s'engage à respecter les normes CE et les prescriptions d'installation. L'installation doit être effectuée par du personnel qualifié.

Plus en détail

Partie 7 : Gestion de la mémoire

Partie 7 : Gestion de la mémoire INF3600+INF2610 Automne 2006 Partie 7 : Gestion de la mémoire Exercice 1 : Considérez un système disposant de 16 MO de mémoire physique réservée aux processus utilisateur. La mémoire est composée de cases

Plus en détail

Centrale de surveillance ALS 04

Centrale de surveillance ALS 04 Centrale de surveillance ALS 04 Notice d'installation et d'utilisation Version 1.0 - B 6 rue Alory 35740 Pacé France Tel : +33 (0) 2 99 60 16 55 Fax : +33 (0) 2 99 60 22 29 www.sodalec.fr - 1 - Notice

Plus en détail