Introduction à VHDL. Introduction à VHDL. Daniel Etiemble Exemple de conception. Code VHDL pour réaliser un comparateur 4 bits

Dimension: px
Commencer à balayer dès la page:

Download "Introduction à VHDL. Introduction à VHDL. Daniel Etiemble de@lri.fr. Exemple de conception. Code VHDL pour réaliser un comparateur 4 bits"

Transcription

1 Introduction à VHDL Daniel Etiemble Introduction à VHDL Exemple de conception Code VHDL pour réaler un comparateur 4 bits [30] B[30] eqcomp4 égal -- eqcomp4 est un comparateur 4 bits eqcomp4 (a, b bit_vector(3 0); equals out bit); eqcomp4; dataflow of eqcomp4 equals 1 (a b) 0 ; dataflow; NOTE dique un commentaire 2. Deux parties dans le code 2 1

2 Format VHDL Le code VHDL décrit une entité en deux parties Déclaration d entité Corps de l Il a aussi d autres aspects package configuration Entité Déclaration d entité Déclaration des terfaces Corps de l Défition fonctionnelle 3 Déclaration d entité Description des entrées sorties Comme le schéma d un composant Description des s d un composant (les pattes d E/S du schéma) <name>... <name>; EXEMPLE un additionneur 4 bits a[30] b[30] Schéma ci add sum[30] co add4 ( a, b std_logic_vector(3 0); ci sum out std_logic_vector(3 0); co out std_logic); add4; 4 2

3 PORTS Chaque signal d E/S d une entité est appelé Un est un objet de tpe données qui peut recevoir des valeurs et être utilé dans des expressions Chaque a un nom, une direction (mode) et un tpe de données Nom de Majuscules/muscules sont équivalents Le premier caractère doit être une lettre Le dernier caractère ne peut être un underscore Deux underscores successifs sont terdits 5 Modes et tpes Un mode décrit la direction du transfert d une donnée à travers un out out (signaux bidirectionnels) buffer (un noeud terne utilé pour feedback) Il a plusieurs tpes de données dponibles Boolean, bit, bit_vector, teger La bibliothèque standard IEEE fournit également std_ulogic et std_logic et des tableaux de ces deux tpes On doit signaler à VHDL d utiler cette bibliothèque librar ieee; use iee.std_logic_1164.all; 6 3

4 rchitecture Une décrit le contenu d une entité VHDL a tro stles d qui peuvent être combée dans le corps d une Comemental Flot de données Structurel Le même circuit peut être décrit en utilant n ime lequel des tro stles 7 Description comementale librar ieee; use ieee.std_logic_1164.all; eqcomp4 ( a, b std_logic_vector(3 0) equals out std_logic); eqcomp4; behavioral of eqcomp4 comp process (a, b) if a b equals 1 ; equals 0 ; if; process comp; behavioral; Une descripton comementale fournit un algorithme qui modéle le fonctionnement du circuit Une déclaration de processus contient un algorithme Elle commence par une étiquette (optionnel), le mot clé process et une lte des signaux actifs (sensivit lt) La lte des signaux actifs dique quels signaux provoqueront l exécution du processus 8 4

5 Description flot de données Une description flot de données spécifie comment la donnée est transférée de signal à signal sans utiler d affectations séquentielles (comme dans la description comementale) Cette description ne nécessite pas de déclaration de processus Toutes les déclarations s exécutent en même temps ( concurrent signal assignment ) dataflow of eqcomp4 equals 1 (a b) 0 ; dataflow; 9 Descriptions structurelles librar ieee; use ieee.std_logic_1164.all; eqcomp4 ( a, b std_logic_vector(3 0) equals out std_logic); eqcomp4; use work.gatespkg.all; struct of eqcomp4 signal x std_logic_vector(0 to 3) u0 xnor2 map (a(0),b(0),x(0)); u1 xnor2 map (a(1),b(1),x(1)); u2 xnor2 map (a(2),b(2),x(2)); u3 xnor2 map (a(3),b(3),x(3)); u4 and4 map (x(0),x(1),x(2),x(3),equals); struct; Les composants sont stanciés et connectés. Ils doivent être déf dans un package et compilés dans une bibliothèque Les bibliogthèques sont attachées par une déclaration xnor2 and and4 sont dans la bibliothèque work.gatespkg. 10 5

6 Modélation structurelle en VHDL Concevoir une fonction majorité à tro entrées La déclaration d entité est équivalente à La déclaration d est équivalente à Les composants sont des es ET et des es OU 11 VHDL Code spect du code VHDL L a tro déclarations Deux composants Un signal Les déclarations de composants correspondent à leur entité Les déclarations de signaux créent un ou plusieurs signaux ternes MJORITY ( _IN, B_IN, C_IN BIT; Z_OUT out BIT); MJORITY; struct of MJORITY --Declare logic operators component ND2_OP (, B BIT; z out BIT); component; component OR3_OP (, B, C BIT; z out BIT); component; --Declare signals to terconnect logic operators signal INT1, INT2, INT3 BIT; 1 ND2_OP map (_IN,B_IN,INT1); 2 ND2_OP map (_IN,C_IN,INT2); 3 ND2_OP map (B_IN,C_IN,INT3); 4 OR3_OP map (INT1,INT2,INT3,Z_OUT); struct; 12 6

7 Déclaration de composants Les composants nécessaires sont aussi décrits avec un stle VHDL ND2_OP (, B BIT; Z out BIT); ND2_OP; MODEL of ND2_OP Z and B; MODEL; OR3_OP (, B, C BIT; Z out BIT); OR3_OP; MODEL of OR3_OP Z or B or C; MODEL; Ici modélation flot de données 13 Instantiation des composants Le circuit Majorité contient quatre déclarations d stantiation de composants Elles commencent par une étiquette suivie de Pu un nom de composant map décrit comment chaque composant est connecté au reste du sstème. Ce sont des déclarations concurrentes l ordre n a pas d imance Elles sont toutes exécutées en même temps 1 ND2_OP map (_IN,B_IN,INT1); 2 ND2_OP map (_IN,C_IN,INT2); 3 ND2_OP map (B_IN,C_IN,INT3); 4 OR3_OP map (INT1,INT2,INT3,Z_OUT); struct; 14 7

8 Logique séquentielle Passage d un état à l état suivant sur une transition d horloge label process (CLK) if(rg_edge(clk)) D C; B ; C B; if;--clk process;--ma CLK DFF B DFF C DFF D 15 Structures hiérarchiques Il n a pas de restrictions sur la hiérarchation des modèles structurels Les entités d une réalation peuvent utiler des composants dont les entités à leur tour peuvent utiler des composants EXEMPLE un circuit avec deux circuits majorité qui détecte quand deux groupes de tro entrées ont tous deux un signal de sortie haut. MJORITY_2x3 ( 1,B1, C1 BIT; 2, B2, C2 Bit; Z_OUT out BIT); MJORITY_2x3; struct of MJORITY_2x3 component ND2_OP (, B BIT; z out BIT); component; component MJORITY (_IN,B_IN,C_IN BIT Z_OUT out BIT); component; signal INT1, INT2 BIT; M1 MJORITY map (1,B1,C1,INT1); M2 MJORITY map (2,B2,C2,INT2); 1 ND2_OP map (INT1,INT2,Z_OUT); struct; 16 8

9 PCKGES package LOGIC_OPS component ND2_OP (, B BIT; z out BIT); component; component OR32_OP (, B, C BIT; z out BIT); component; component NOT_OP (, BIT; _BR out BIT); component; LOGIC_OPS; Un package sert à réutiler un ensemble de déclarations de composants Un package commence par le mot clé package et se terme par le mot clé Il contient les défitions de composants et de signaux (et plus ) Ce package pourrait être sauvegardé dans la bibliothèque WORK et appelé par uses WORK.LOGIC_OPS.all Ou il pourrait être clus dans le fichier source qui contient toutes les entités pour un problème 17 Bibliothèques Une bibliothèque contient du code compilé d entités de conception Il a une bibliothèque standard VHDL Lors de la compilation d un projet, il est rangé par défaut dans la bibliothèque WORK L utilation d une bibliothèque implique la déclaration librar <nom_bibliothèque>; Pour accéder à ses packages use <nom_bibliothèque>.<nom_bibliothèque>.all; 18 9

10 Exemple décodeur Exemple décodeur 2 4 decoder decoder ( ( std_logic_vector(1 std_logic_vector(1 0); 0); Z Z out out std_logic_vector(3 std_logic_vector(3 0) 0) ); ); decoder; decoder; Interface (1) (0) Z(3) Z(2) Z(1) Z(0) of of decoder decoder Z Z "0001" "0001" "00" "00" "0010" "0010" "01" "01" "0100" "0100" "10" "10" "1000" "1000" "11" "11" "XXXX"; "XXXX"; _; _; Fonction (1..0) Z(3..0) Exemple multiplexeur 41 mux mux ( ( a, a, b, b, c, c, d d s s std_logic_vector(1 std_logic_vector(1 0); 0); out out std_logic); std_logic); mux; mux; mux1 mux1 of of mux mux process process (a, (a, b, b, c, c, d, d, s) s) case case s s "00 "00 a; a; "01" "01" b; b; "10" "10" c; c; "11" "11" d; d; case; case; process; process; mux1; mux1; a b c d S(1) S(0) 20 10

11 Bascule D rtl rtl of of D_FF D_FF process process (Clock, (Clock, Reset) Reset) if if Reset Reset 1 1 Q 0 ; 0 ; if if rg_edge(clock) Q D; D; if; if; process; process; rtl; rtl; D Clock Reset Flip-flop D Q R Q 21 Compteur baire counter counter generic generic (n (n teger teger 4); 4); ( ( clk clk reset reset count count out out std_logic_vector(n-1 std_logic_vector(n-1 0) 0) ); ); use use ieee.numeric_std.all; ieee.numeric_std.all; counter; counter; bar bar of of counter counter process Pas de détails sur la manière de process (clk, (clk, reset) reset) variable réaler l opérateur. variable cnt cnt unsigned(n-1 unsigned(n-1 0); 0); Le + dique l opération if d crémentation. if reset reset '1' '1' asnc asnc reset reset cnt cnt (others (others '0'); '0'); elsif elsif rg_edge(clk) rg_edge(clk) cnt cnt cnt cnt + + 1; 1; if; if; count count std_logic_vector(cnt); std_logic_vector(cnt); process; process; rchitectures avancées bar; bar; 22 11

12 utomate (FSM) Sur la réception d un signal (trigger), génère un signal active de 2 ccles et att un signal accept trigger trigger ( ( clk, clk, reset reset trigger, trigger, accept accept curr_state active active out out std_logic); std_logic); trigger; trigger; trigger State rtl rtl of of trigger trigger Transition tpe tpe state_tpe state_tpe (s0, (s0, s1, s1, s2); s2); Logic signal signal cur_state, cur_state, accept state_tpe; state_tpe; regters regters process process (clk, (clk, reset) reset) reset if if (reset'1') (reset'1') cur_state cur_state s0; s0; elsif elsif rg_edge(clk) rg_edge(clk) cur_state cur_state ; ; if; if; process; process; clk R Output Logic active 23 utomate (suite) process process (cur_state, (cur_state, trigger, trigger, accept) accept) case case cur_state cur_state s0 s0 active active '0'; '0'; if if (trigger (trigger '1') '1') s1; s1; s0; s0; if; if; s1 s1 active active '1'; '1'; s2; s2; s2 s2 active active '1'; '1'; if if (accept (accept '1') '1') s0; s0; s2; s2; if; if; case; case; process; process; S0 accept State Transition Logic trigger S2 clk curr_state Output Logic R S

13 Flot de conception FPG (1) Spécifications Réalation Description comementale ou structurelle Simulation RTL Simulation fonctionnelle Vérifie le fonctionnement logique et le flot de données (pas d analse temporelle) 25 t clk Flot de conception FPG (2) nalse temporelle - Vérifie que les performances reques sont satfaites - nalse temporelle statique Simulation au niveau es - Simulation temporelle - Vérifie que la réalation fonctionne sur la technologie cible Programmation et test - Programmation & et test du composant sur la carte 26 13

14 Ccle de conception description Description du sstème Textuelle (HDL) VHDL, Verilog Graphique Schéma bloc Graphe d états Table de vérité Design Entr Simulation Snthes Place & Route Simulation Program device & test 27 Ccle de conception simulation Simulation fonctionnelle Indépante du tpe de FPG Pas d formation temporelle Simulation temporelle Simulation après placement et routage timg détaillé Description Simulation Snthèse Placement & Routage Simulation Programmation et test 28 14

15 Snthèse RTL L entrée est une description HDL Compilation & traduction Génère la lte de noeuds dépante de la technologie Schéma TRL (analse du code HDL) Mappage sur la technologie Mappage sur les structures spécifiques de la technologie LUTs Regtres TM/ROM Blocs DSP utres composants Optimation logique nalse de l implantation Design Entr Simulation Snthèse Place & Route Simulation Program device & test 29 Placement et routage fitter sur le FPG Logiciel fourni par le veur du FPG, spécifique de l de chaque composant FPG Fonctions Placement et routage Edition des contrates nnotation de la lte de noeuds avec les formations temporelles Fichier de configuration Design Entr Simulation Snthes Place & Route Simulation Program device & test 30 15

16 Exemple Quartus II d ltera Logiciel de conception tégré Plusieurs techniques d entrée textuelles VHDL, Verilog, HDL Editeur de schéma Snthèse logique Placement et routage Simulation nalse temporelle et pusance dsipée Création de la netlt pour l analse temporelle Programmation du composant ISE (Xilx) a des caractértiques semblables 31 16

Le langage VHDL. Eduardo Sanchez EPFL

Le langage VHDL. Eduardo Sanchez EPFL Le langage VHDL Eduardo Sanchez EPFL Livres conseillés: John F. Wakerly Digital design (4th edition) Prentice Hall, 2005 Peter J. Ashenden The designer's guide to VHDL (3rd edition) Morgan Kaufmann, 2008

Plus en détail

Manipulations du laboratoire

Manipulations du laboratoire Manipulations du laboratoire 1 Matériel Les manipulations de ce laboratoire sont réalisées sur une carte électronique comprenant un compteur 4-bit asynchrone (74LS93) avec possibilité de déclenchement

Plus en détail

SIN-FPGA DESCRIPTION PAR SCHEMA

SIN-FPGA DESCRIPTION PAR SCHEMA SIN-FPGA DESCRIPTION PAR SCHEMA Documents ressources: http://www.altera.com/literature/lit-index.html Introduction to Quartus II : intro_to_quartus2.pdf Documentation QUARTUS II : quartusii_handbook.pdf

Plus en détail

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS

T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS T. BLOTIN Lycée Paul-Eluard 93206 SAINT-DENIS SOMMAIRE I. Le VHDL pour qui, pourquoi, quand, comment? A. Le VHDL!...... 1 B. Pourquoi un langage de description?...... 1 C. Les limites actuelles...... 2

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2011-2012 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques WIDEMACV1 LAAS-CNRS 2011 Présentation du simulateur VHDL sous environnement Cadence Présentation

Plus en détail

Conception Systèmes numériques VHDL et synthèse automatique des circuits

Conception Systèmes numériques VHDL et synthèse automatique des circuits Année 2008-2009 Conception Systèmes numériques VHDL et synthèse automatique des circuits Travaux pratiques Pentium4 Présentation du simulateur VHDL sous environnement Cadence Présentation de l outil Synopsys

Plus en détail

IFT1215 Introduction aux systèmes informatiques

IFT1215 Introduction aux systèmes informatiques Introduction aux circuits logiques de base IFT25 Architecture en couches Niveau 5 Niveau 4 Niveau 3 Niveau 2 Niveau Niveau Couche des langages d application Traduction (compilateur) Couche du langage d

Plus en détail

GPA770 Microélectronique appliquée Exercices série A

GPA770 Microélectronique appliquée Exercices série A GPA770 Microélectronique appliquée Exercices série A 1. Effectuez les calculs suivants sur des nombres binaires en complément à avec une représentation de 8 bits. Est-ce qu il y a débordement en complément

Plus en détail

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits Architecture des ordinateurs TD1 - Portes logiques et premiers circuits 1 Rappel : un peu de logique Exercice 1.1 Remplir la table de vérité suivante : a b a + b ab a + b ab a b 0 0 0 1 1 0 1 1 Exercice

Plus en détail

Chapitre VI- La validation de la composition.

Chapitre VI- La validation de la composition. Chapitre VI- La validation de la composition. Objectifs du chapitre : Expliquer les conséquences de l utilisation de règles de typage souples dans SEP. Présenter le mécanisme de validation des connexions

Plus en détail

Les portes logiques. Voici les symboles des trois fonctions de base. Portes AND. Portes OR. Porte NOT

Les portes logiques. Voici les symboles des trois fonctions de base. Portes AND. Portes OR. Porte NOT Les portes logiques Nous avons jusqu ici utilisé des boutons poussoirs et une lampe pour illustrer le fonctionnement des opérateurs logiques. En électronique digitale, les opérations logiques sont effectuées

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot Année universitaire 2014-2015 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 Codage des nombres en base 2, logique

Plus en détail

IRL : Simulation distribuée pour les systèmes embarqués

IRL : Simulation distribuée pour les systèmes embarqués IRL : Simulation distribuée pour les systèmes embarqués Yassine El Khadiri, 2 ème année Ensimag, Grenoble INP Matthieu Moy, Verimag Denis Becker, Verimag 19 mai 2015 1 Table des matières 1 MPI et la sérialisation

Plus en détail

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE

EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE EPREUVE OPTIONNELLE d INFORMATIQUE CORRIGE QCM Remarque : - A une question correspond au moins 1 réponse juste - Cocher la ou les bonnes réponses Barème : - Une bonne réponse = +1 - Pas de réponse = 0

Plus en détail

QUESTION 1 {2 points}

QUESTION 1 {2 points} ELE4301 Systèmes logiques II Page 1 de 8 QUESTION 1 {2 points} En se servant de paramètres électriques donnés dans le Tableau 1 ci-dessous, on désire déterminer la fréquence d opération du compteur présenté

Plus en détail

Logique séquentielle

Logique séquentielle Bascules et logique séquentielle aniel Etiemble de@lri.fr Logique séquentielle Logique séquentielle Le système a des «états» ans un système séquentiel Éléments de mémorisation Les sorties dépendent des

Plus en détail

Cycle de vie du logiciel. Unified Modeling Language UML. UML: définition. Développement Logiciel. Salima Hassas. Unified Modeling Language

Cycle de vie du logiciel. Unified Modeling Language UML. UML: définition. Développement Logiciel. Salima Hassas. Unified Modeling Language Unified Modeling Language UML Salima Hassas Version Cycle de vie du logiciel Client Besoins Déploiement Analyse Test Conception Cours sur la base des transparents de : Gioavanna Di Marzo Serugendo et Frédéric

Plus en détail

VIII- Circuits séquentiels. Mémoires

VIII- Circuits séquentiels. Mémoires 1 VIII- Circuits séquentiels. Mémoires Maintenant le temps va intervenir. Nous avions déjà indiqué que la traversée d une porte ne se faisait pas instantanément et qu il fallait en tenir compte, notamment

Plus en détail

Diagrammes de Package, de déploiement et de composants UML

Diagrammes de Package, de déploiement et de composants UML labsticc.univ-brest.fr/pages_perso/babau/ Diagrammes de Package, de déploiement et de composants UML Jean-Philippe Babau Département Informatique, UFR Sciences, Laboratoire Lab-STICC 2 1 Plan Description

Plus en détail

3. SPÉCIFICATIONS DU LOGICIEL. de l'expression des besoins à la conception. Spécifications fonctionnelles Analyse fonctionnelle et méthodes

3. SPÉCIFICATIONS DU LOGICIEL. de l'expression des besoins à la conception. Spécifications fonctionnelles Analyse fonctionnelle et méthodes PLAN CYCLE DE VIE D'UN LOGICIEL EXPRESSION DES BESOINS SPÉCIFICATIONS DU LOGICIEL CONCEPTION DU LOGICIEL LA PROGRAMMATION TESTS ET MISE AU POINT DOCUMENTATION CONCLUSION C.Crochepeyre Génie Logiciel Diapason

Plus en détail

Notice d Utilisation du logiciel Finite Element Method Magnetics version 3.4 auteur: David Meeker

Notice d Utilisation du logiciel Finite Element Method Magnetics version 3.4 auteur: David Meeker Notice d Utilisation du logiciel Finite Element Method Magnetics version 3.4 auteur: David Meeker DeCarvalho Adelino adelino.decarvalho@iutc.u-cergy.fr septembre 2005 Table des matières 1 Introduction

Plus en détail

ELP 304 : Électronique Numérique. Cours 1 Introduction

ELP 304 : Électronique Numérique. Cours 1 Introduction ELP 304 : Électronique Numérique Cours 1 Introduction Catherine Douillard Dépt Électronique Les systèmes numériques : généralités (I) En électronique numérique, le codage des informations utilise deux

Plus en détail

Profil UML pour TLM: contribution à la formalisation et à l automatisation du flot de conception et vérification des systèmes-sur-puce.

Profil UML pour TLM: contribution à la formalisation et à l automatisation du flot de conception et vérification des systèmes-sur-puce. INSTITUT NATIONAL POLYTECHNIQUE DE GRENOBLE N attribué par la bibliothèque T H È S E pour obtenir le grade de DOCTEUR DE L INPG Spécialité : «Micro et Nano Électronique» préparée au laboratoire CEA LIST/DTSI/SOL/LISE

Plus en détail

DG-ADAJ: Une plateforme Desktop Grid

DG-ADAJ: Une plateforme Desktop Grid DG-ADAJ: Une plateforme pour Desktop Grid Olejnik Richard, Bernard Toursel Université des Sciences et Technologies de Lille Laboratoire d Informatique Fondamentale de Lille (LIFL UMR CNRS 8022) Bât M3

Plus en détail

Génie Logiciel avec Ada. 4 février 2013

Génie Logiciel avec Ada. 4 février 2013 Génie Logiciel 4 février 2013 Plan I. Généralités II. Structures linéaires III. Exceptions IV. Structures arborescentes V. Dictionnaires I. Principes II. Notions propres à la POO I. Principes Chapitre

Plus en détail

DOCUMENT PROTEGE PAR UN DROIT DE COPIE. CPLD ou FPGA Critères de choix. page 1

DOCUMENT PROTEGE PAR UN DROIT DE COPIE. CPLD ou FPGA Critères de choix. page 1 Une des caractéristiques du domaine des circuits programmables est d être résolument moderne, tirant parti des évolutions concernant les procédés technologiques, la propriété intellectuelle(ip), l Internet,

Plus en détail

Cours 7 : Programmation d une chaîne d acquisition

Cours 7 : Programmation d une chaîne d acquisition Cours 7 : Programmation d une chaîne d acquisition 4 Concepts 4 Programmation Cible Pentium : Langages de haut niveau Langage graphique G sous LabView + librairies de VI ; Langage C + librairies de fonctions

Plus en détail

Quoi de neuf en LabVIEW FPGA 2010?

Quoi de neuf en LabVIEW FPGA 2010? Quoi de neuf en LabVIEW FPGA 2010? Yannick DEGLA Ingénieur d Application Fonctionnalités de LabVIEW FPGA 2010 Nœud d intégration d IP - Importer directement des fichiers.xco de Xilinx ou vos propres VHDL

Plus en détail

Resolution limit in community detection

Resolution limit in community detection Introduction Plan 2006 Introduction Plan Introduction Introduction Plan Introduction Point de départ : un graphe et des sous-graphes. But : quantifier le fait que les sous-graphes choisis sont des modules.

Plus en détail

Outils logiciels pour la combinaison de vérification fonctionnelle et d évaluation de performances au sein de CADP

Outils logiciels pour la combinaison de vérification fonctionnelle et d évaluation de performances au sein de CADP Outils logiciels pour la combinaison de vérification fonctionnelle et d évaluation de performances au sein de CADP Christophe Joubert Séminaire VASY 2002 30 Octobre 2002 Aix les Bains Contexte du projet

Plus en détail

La plate-forme DIMA. Master 1 IMA COLI23 - Université de La Rochelle

La plate-forme DIMA. Master 1 IMA COLI23 - Université de La Rochelle La plate-forme DIMA Master 1 IMA COLI23 - Université de La Rochelle DIMA Bref aperçu Qu'est-ce? Acronyme de «Développement et Implémentation de Systèmes Multi-Agents» Initié par Zahia Guessoum et Jean-Pierre

Plus en détail

Architecture des ordinateurs

Architecture des ordinateurs Architecture des ordinateurs Cours 4 5 novembre 2012 Archi 1/22 Micro-architecture Archi 2/22 Intro Comment assembler les différents circuits vus dans les cours précédents pour fabriquer un processeur?

Plus en détail

Systemesdigitaux. Cours 5

Systemesdigitaux. Cours 5 Systemesdigitaux Cours 5 Au derniercours On a vu des blocs classiques plus sophistiques: Additionneur Soustracteur Multiplicateur Comparateur On a vu les architectures et comment les concevoir Aujourd

Plus en détail

Séminaire RGE REIMS 17 février 2011

Séminaire RGE REIMS 17 février 2011 Séminaire RGE REIMS 17 février 2011 ADACSYS Présentation des FPGA Agenda Spécificité et différences par rapport aux autres accélérateurs Nos atouts Applications Approche innovante Document confidentiel

Plus en détail

Les PME face aux ERP. Quels sont les principaux enjeux des projets ERP dans les PME?

Les PME face aux ERP. Quels sont les principaux enjeux des projets ERP dans les PME? Les PME face aux ERP Quels sont les principaux enjeux des projets ERP dans les Mars mmaire Introduction... 3 Les enjeux des projets ERP dans les PME... 4 >>L optimation de la gestion de l entrepre... 4

Plus en détail

Tutoriel Création d une source Cydia et compilation des packages sous Linux

Tutoriel Création d une source Cydia et compilation des packages sous Linux Tutoriel Création d une source Cydia et compilation des packages sous Linux 1. Pré-requis 1. Quelques connaissances sous Linux 2. Avoir à disposition un Serveur FTP pour héberger votre source 3. Un thème

Plus en détail

La norme Midi et JavaSound

La norme Midi et JavaSound La norme Midi et JavaSound V 1.0-14.2.2006 (update Fev. 07) Jacques Ferber LIRMM - Université Montpellier II 161 rue Ada 34292 Montpellier Cedex 5 Email: ferber@lirmm.fr Home page: www.lirmm.fr/~ferber

Plus en détail

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique Architecture d ordinateur : introduction Dimitri Galayko Introduction à l informatique, cours 1 partie 2 Septembre 2014 Association d interrupteurs: fonctions arithmétiques élémentaires Elément «NON» Elément

Plus en détail

Annexe : La Programmation Informatique

Annexe : La Programmation Informatique GLOSSAIRE Table des matières La Programmation...2 Les langages de programmation...2 Java...2 La programmation orientée objet...2 Classe et Objet...3 API et Bibliothèque Logicielle...3 Environnement de

Plus en détail

Cours de Génie Logiciel

Cours de Génie Logiciel Cours de Génie Logiciel Sciences-U Lyon Diagrammes UML (2) http://www.rzo.free.fr Pierre PARREND 1 Avril 2005 Sommaire Les Diagrammes UML Diagrammes de Collaboration Diagrammes d'etats-transitions Diagrammes

Plus en détail

ISO/CEI 11172-3 NORME INTERNATIONALE

ISO/CEI 11172-3 NORME INTERNATIONALE NORME INTERNATIONALE ISO/CEI 11172-3 Première édition 1993-08-01 Technologies de l information - Codage de l image animée et du son associé pour les supports de stockage numérique jusqu à environ Ii5 Mbit/s

Plus en détail

Conception des systèmes répartis

Conception des systèmes répartis Conception des systèmes répartis Principes et concepts Gérard Padiou Département Informatique et Mathématiques appliquées ENSEEIHT Octobre 2012 Gérard Padiou Conception des systèmes répartis 1 / 37 plan

Plus en détail

M2-Images. Rendu Temps Réel - OpenGL 4 et compute shaders. J.C. Iehl. December 18, 2013

M2-Images. Rendu Temps Réel - OpenGL 4 et compute shaders. J.C. Iehl. December 18, 2013 Rendu Temps Réel - OpenGL 4 et compute shaders December 18, 2013 résumé des épisodes précédents... création des objets opengl, organisation des données, configuration du pipeline, draw,... opengl 4.3 :

Plus en détail

Variateurs de vitesse

Variateurs de vitesse Présentation, description Altivar Présentation La carte programmable Controller Inside permet d adapter le variateur de vitesse aux applications spécifi ques par l intégration des fonctions d automatisme.

Plus en détail

Projet d informatique M1BI : Compression et décompression de texte. 1 Généralités sur la compression/décompression de texte

Projet d informatique M1BI : Compression et décompression de texte. 1 Généralités sur la compression/décompression de texte Projet d informatique M1BI : Compression et décompression de texte Le but de ce projet est de coder un programme réalisant de la compression et décompression de texte. On se proposera de coder deux algorithmes

Plus en détail

Quatrième partie IV. Test. Test 15 février 2008 1 / 71

Quatrième partie IV. Test. Test 15 février 2008 1 / 71 Quatrième partie IV Test Test 15 février 2008 1 / 71 Outline Introduction 1 Introduction 2 Analyse statique 3 Test dynamique Test fonctionnel et structurel Test structurel Test fonctionnel 4 Conclusion

Plus en détail

Projet audio. Analyse des Signaux ELE2700

Projet audio. Analyse des Signaux ELE2700 ÉCOLE POLYTECHNIQUE DE MONTRÉAL Département de Génie Électrique Projet audio Analyse des Signaux ELE2700 Saad Chidami - 2014 Table des matières Objectif du laboratoire... 4 Caractérisation du bruit...

Plus en détail

Atelier C TIA Portal CTIA04 : Programmation des automates S7-300 Opérations numériques

Atelier C TIA Portal CTIA04 : Programmation des automates S7-300 Opérations numériques Atelier C TIA Portal CTIA04 : Programmation des automates S7-300 Opérations numériques CTIA04 Page 1 1. Les types de données sous S7 300 Il existe plusieurs types de données utilisées pour la programmation

Plus en détail

Usine Numérique Intégration Produit Production

Usine Numérique Intégration Produit Production Usine Numérique Intégration Produit Production Bernard Hoessler Manufacturing Business Group EMEA Paris 25 Novembre 2010 Du monde virtuel au monde réél Page 2 Stratégie développée dans l industrie Exploiter

Plus en détail

Importation de fichiers Eagle

Importation de fichiers Eagle Importation de fichiers Eagle 2 Mention de réserve sur les droits d'auteur Les droits d auteur rattachés à tout ou partie des présents logiciel et manuel appartiennent à RS Components et ne peuvent être

Plus en détail

Rappels d architecture

Rappels d architecture Assembleur Rappels d architecture Un ordinateur se compose principalement d un processeur, de mémoire. On y attache ensuite des périphériques, mais ils sont optionnels. données : disque dur, etc entrée

Plus en détail

Compilation (INF 564)

Compilation (INF 564) Présentation du cours Le processeur MIPS Programmation du MIPS 1 Compilation (INF 564) Introduction & architecture MIPS François Pottier 10 décembre 2014 Présentation du cours Le processeur MIPS Programmation

Plus en détail

MAC-TC: programmation d un plate forme DSP-FPGA

MAC-TC: programmation d un plate forme DSP-FPGA MAC-TC: programmation d un plate forme DSP-FPGA Tanguy Risset avec l aide de: Nicolas Fournel, Antoine Fraboulet, Claire Goursaud, Arnaud Tisserand - p. 1/17 Plan Partie 1: le système Lyrtech Introduction

Plus en détail

Générer du code à partir d une description de haut niveau

Générer du code à partir d une description de haut niveau Cedric Dumoulin Générer du code à partir d une description de haut niveau Ce projet vise à fournir un environnement de développement permettant de modéliser des UI Android à un haut niveau d abstraction,

Plus en détail

TP1 : Initiation à Java et Eclipse

TP1 : Initiation à Java et Eclipse TP1 : Initiation à Java et Eclipse 1 TP1 : Initiation à Java et Eclipse Systèmes d Exploitation Avancés I. Objectifs du TP Ce TP est une introduction au langage Java. Il vous permettra de comprendre les

Plus en détail

Initiation au HPC - Généralités

Initiation au HPC - Généralités Initiation au HPC - Généralités Éric Ramat et Julien Dehos Université du Littoral Côte d Opale M2 Informatique 2 septembre 2015 Éric Ramat et Julien Dehos Initiation au HPC - Généralités 1/49 Plan du cours

Plus en détail

Table des matières 1 DISASTER RECOVERY... 2 1.1 SAUVEGARDER... 4 1.2 RESTAURER... 21 1.3 BASE DE DONNÉS "SUSPECT"... 28

Table des matières 1 DISASTER RECOVERY... 2 1.1 SAUVEGARDER... 4 1.2 RESTAURER... 21 1.3 BASE DE DONNÉS SUSPECT... 28 Table des matières 1 DISASTER RECOVERY... 2 1.1 SAUVEGARDER... 4 1.2 RESTAURER... 21 1.3 BASE DE DONNÉS "SUSPECT"... 28 2011 Hakim Benameurlaine 1 1 DISASTER RECOVERY Physiquement, les bases de données

Plus en détail

Les systèmes embarqués Introduction. Richard Grisel Professeur des Universités Université de Rouen Nacer Abouchi Professeur ESCPE Lyon

Les systèmes embarqués Introduction. Richard Grisel Professeur des Universités Université de Rouen Nacer Abouchi Professeur ESCPE Lyon Les systèmes embarqués Introduction Richard Grisel Professeur des Universités Université de Rouen Nacer Abouchi Professeur ESCPE Lyon Introduction aux systèmes embarqués Définition. Caractéristiques d

Plus en détail

Introduction à la Programmation Parallèle: MPI

Introduction à la Programmation Parallèle: MPI Introduction à la Programmation Parallèle: MPI Frédéric Gava et Gaétan Hains L.A.C.L Laboratoire d Algorithmique, Complexité et Logique Cours du M2 SSI option PSSR Plan 1 Modèle de programmation 2 3 4

Plus en détail

SYSTEME DE TELESURVEILLANCE VIDEO

SYSTEME DE TELESURVEILLANCE VIDEO SYSTEME DE TELESURVEILLANCE VIDEO Avril - Juin 98 Résumé des auteurs : Auteurs : Bertrand LASSERRE Responsables : P. KADIONIK Y. BERTHOUMIEU Nombre de pages : 68 Nombre de pages «Annexe» : 0 Remerciements

Plus en détail

Le Guide Pratique des Processus Métiers

Le Guide Pratique des Processus Métiers Guides Pratiques Objecteering Le Guide Pratique des Processus Métiers Auteur : Version : 1.0 Copyright : Softeam Equipe Conseil Softeam Supervisée par Philippe Desfray Softeam 21 avenue Victor Hugo 75016

Plus en détail

Programmer en JAVA. par Tama (tama@via.ecp.fr( tama@via.ecp.fr)

Programmer en JAVA. par Tama (tama@via.ecp.fr( tama@via.ecp.fr) Programmer en JAVA par Tama (tama@via.ecp.fr( tama@via.ecp.fr) Plan 1. Présentation de Java 2. Les bases du langage 3. Concepts avancés 4. Documentation 5. Index des mots-clés 6. Les erreurs fréquentes

Plus en détail

TP Contraintes - Triggers

TP Contraintes - Triggers TP Contraintes - Triggers 1. Préambule Oracle est accessible sur le serveur Venus et vous êtes autorisés à accéder à une instance licence. Vous utiliserez l interface d accés SQL*Plus qui permet l exécution

Plus en détail

THÈSE DEVANT L UNIVERSITÉ DE RENNES 1

THÈSE DEVANT L UNIVERSITÉ DE RENNES 1 N d ordre : 3881 THÈSE présentée DEVANT L UNIVERSITÉ DE RENNES 1 pour obtenir le grade de : DOCTEUR DE L UNIVERSITÉ DE RENNES 1 Mention : Traitement du Signal et Télécommunications par Julien LALLET Équipe

Plus en détail

1. Structure d un programme C. 2. Commentaire: /*..texte */ On utilise aussi le commentaire du C++ qui est valable pour C: 3.

1. Structure d un programme C. 2. Commentaire: /*..texte */ On utilise aussi le commentaire du C++ qui est valable pour C: 3. 1. Structure d un programme C Un programme est un ensemble de fonctions. La fonction "main" constitue le point d entrée pour l exécution. Un exemple simple : #include int main() { printf ( this

Plus en détail

Surveiller les applications et les services grâce à la surveillance réseau

Surveiller les applications et les services grâce à la surveillance réseau Surveiller les applications et les services grâce à la surveillance réseau Livre Blanc Auteur : Daniel Zobel, Responsable du Développement Logiciel, Paessler AG Publication : Mars 2014 PAGE 1 SUR 9 Sommaire

Plus en détail

REALISATION D UNE CALCULATRICE GRACE AU LOGICIEL CROCODILE CLIPS 3.

REALISATION D UNE CALCULATRICE GRACE AU LOGICIEL CROCODILE CLIPS 3. 1 sur 6 REALISATION D UNE CALCULATRICE GRACE AU LOGICIEL CROCODILE CLIPS 3. OBJECTIF - PUBLIC - LOGICIEL - MATERIEL - METHODE - BIBLIOGRAPHIE - AVANTAGES - DIFFICULTES - AUTEUR DU DOCUMENT - LE DOCUMENT

Plus en détail

Argument-fetching dataflow machine de G.R. Gao et J.B. Dennis (McGill, 1988) = machine dataflow sans flux de données

Argument-fetching dataflow machine de G.R. Gao et J.B. Dennis (McGill, 1988) = machine dataflow sans flux de données EARTH et Threaded-C: Éléments clés du manuel de références de Threaded-C Bref historique de EARTH et Threaded-C Ancêtres de l architecture EARTH: Slide 1 Machine à flux de données statique de J.B. Dennis

Plus en détail

COURS AUTOCAD. Création et utilisation des blocs. b leclerc. ERP Jean Moulin METZ

COURS AUTOCAD. Création et utilisation des blocs. b leclerc. ERP Jean Moulin METZ COURS AUTOCAD Création et utilisation des blocs QU EST-CE QU UN BLOC? C est un élément de dessin, auquel peut être associé du texte (des attributs ). Un bloc constitue un élément de bibliothèque. Il peut

Plus en détail

Structure d un programme et Compilation Notions de classe et d objet Syntaxe

Structure d un programme et Compilation Notions de classe et d objet Syntaxe Cours1 Structure d un programme et Compilation Notions de classe et d objet Syntaxe POO 1 Programmation Orientée Objet Un ensemble d objet qui communiquent Pourquoi POO Conception abstraction sur les types

Plus en détail

SUR MODULE CAMÉRA C38A (OV7620)

SUR MODULE CAMÉRA C38A (OV7620) Applications maquette d'étude EP10K20 DÉMULTIPLEXEUR BT.656 SUR MODULE CAMÉRA C38A OV7620 SCHÉMAS ET DESCRIPTIONS AHDL 1. Schéma principal Le démultiplexeur proprement dit est la fonction "Decod_BT656_1".

Plus en détail

Cours 1 : La compilation

Cours 1 : La compilation /38 Interprétation des programmes Cours 1 : La compilation Yann Régis-Gianas yrg@pps.univ-paris-diderot.fr PPS - Université Denis Diderot Paris 7 2/38 Qu est-ce que la compilation? Vous avez tous déjà

Plus en détail

Comparaison de trois techniques de modélisation de processus: ADONIS, OSSAD et UML

Comparaison de trois techniques de modélisation de processus: ADONIS, OSSAD et UML Olivier Glassey Jean-Loup Chappelet Comparaison de trois techniques de modélisation de processus: ADONIS, OSSAD et UML Working paper de l'idheap 14/2002 UER: Management public / Systèmes d'information

Plus en détail

Thème 3 Conception et vérification d architectures de systèmes sur puce

Thème 3 Conception et vérification d architectures de systèmes sur puce Thème 3 Conception et vérification d architectures de systèmes sur puce Conception et simulation Frédéric Pétrot Vérification Laurence Pierre Conception et vérification d architectures de systèmes sur

Plus en détail

Corrigés des premiers exercices sur les classes

Corrigés des premiers exercices sur les classes Corrigés des premiers exercices sur les classes Exercice 2.1.1 utilisation d une classe Voici le texte d une classe représentant de façon sommaire un compte bancaire et les opérations bancaires courantes.

Plus en détail

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3. SIMULATION 7 " - 4.

TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3 & '  ( ) '*+ , ##) #  -. /0  1 2  3. SIMULATION 7  - 4. TABLE DES MATIÈRES 1. DÉMARRER ISIS 2 2. SAISIE D UN SCHÉMA 3! " #$ % & ' " ( ) '*+ ", ##) # " -. /0 " 1 2 " 3' & 3. SIMULATION 7 0 ( 0, - 0 - " - & 1 4. LA SOURIS 11 5. LES RACCOURCIS CLAVIER 11 STI Electronique

Plus en détail

PROGRAMME DU CONCOURS DE RÉDACTEUR INFORMATICIEN

PROGRAMME DU CONCOURS DE RÉDACTEUR INFORMATICIEN PROGRAMME DU CONCOURS DE RÉDACTEUR INFORMATICIEN 1. DÉVELOPPEMENT D'APPLICATION (CONCEPTEUR ANALYSTE) 1.1 ARCHITECTURE MATÉRIELLE DU SYSTÈME INFORMATIQUE 1.1.1 Architecture d'un ordinateur Processeur,

Plus en détail

Composants Logiciels. Le modèle de composant de CORBA. Plan

Composants Logiciels. Le modèle de composant de CORBA. Plan Composants Logiciels Christian Pérez Le modèle de composant de CORBA Année 2010-11 1 Plan Un rapide tour d horizon de CORBA 2 Introduction au modèle de composant de CORBA Définition de composants CORBA

Plus en détail

as Architecture des Systèmes d Information

as Architecture des Systèmes d Information Plan Plan Programmation - Introduction - Nicolas Malandain March 14, 2005 Introduction à Java 1 Introduction Présentation Caractéristiques Le langage Java 2 Types et Variables Types simples Types complexes

Plus en détail

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE I/ GÉNÉRALITÉS I.1/ Fonction Un compteur binaire est utilisé : -pour compter un certain nombre d'évènements binaires -pour diviser la fréquence d'un signal logique par 2 m Page 1 FONCTION COMPTAGE BINAIRE

Plus en détail

Utilisation de l'outil AdisTlsMonitoringTester.exe

Utilisation de l'outil AdisTlsMonitoringTester.exe Fiche technique AppliDis Utilisation de l'outil AdisTlsMonitoringTester.exe Fiche IS00326 Version document : 1.01 Diffusion limitée : Systancia, membres du programme Partenaires AppliDis et clients ou

Plus en détail

basée sur le cours de Bertrand Legal, maître de conférences à l ENSEIRB www.enseirb.fr/~legal Olivier Augereau Formation UML

basée sur le cours de Bertrand Legal, maître de conférences à l ENSEIRB www.enseirb.fr/~legal Olivier Augereau Formation UML basée sur le cours de Bertrand Legal, maître de conférences à l ENSEIRB www.enseirb.fr/~legal Olivier Augereau Formation UML http://olivier-augereau.com Sommaire Introduction I) Les bases II) Les diagrammes

Plus en détail

Polymorphisme, la classe Object, les package et la visibilité en Java... 1

Polymorphisme, la classe Object, les package et la visibilité en Java... 1 Polymorphisme, la classe Object, les package et la visibilité en Java. Polymorphisme, la classe Object, les package et la visibilité en Java.... 1 Polymorphisme.... 1 Le DownCast... 4 La Classe Object....

Plus en détail

Éléments d informatique Cours 3 La programmation structurée en langage C L instruction de contrôle if

Éléments d informatique Cours 3 La programmation structurée en langage C L instruction de contrôle if Éléments d informatique Cours 3 La programmation structurée en langage C L instruction de contrôle if Pierre Boudes 28 septembre 2011 This work is licensed under the Creative Commons Attribution-NonCommercial-ShareAlike

Plus en détail

Introduction aux systèmes temps réel. Iulian Ober IRIT ober@iut-blagnac.fr

Introduction aux systèmes temps réel. Iulian Ober IRIT ober@iut-blagnac.fr Introduction aux systèmes temps réel Iulian Ober IRIT ober@iut-blagnac.fr Définition Systèmes dont la correction ne dépend pas seulement des valeurs des résultats produits mais également des délais dans

Plus en détail

INITIATION AU LANGAGE C SUR PIC DE MICROSHIP

INITIATION AU LANGAGE C SUR PIC DE MICROSHIP COURS PROGRAMMATION INITIATION AU LANGAGE C SUR MICROCONTROLEUR PIC page 1 / 7 INITIATION AU LANGAGE C SUR PIC DE MICROSHIP I. Historique du langage C 1972 : naissance du C dans les laboratoires BELL par

Plus en détail

CONFIGURATION DE L AUTOMATE SIEMENS

CONFIGURATION DE L AUTOMATE SIEMENS CONFIGURATION DE L AUTOMATE SIEMENS Créer un projet Dans le bureau de Windows, double-cliquer sur l icône «SIMATIC Manager» : Cliquer ensuite sur l icône «nouveau» : Choisir un nom de projet et valider

Plus en détail

Carte Relais GSM (Manuel Utilisateur)

Carte Relais GSM (Manuel Utilisateur) Carte Relais GSM (Manuel Utilisateur) Carte Relais GSM Introduction Cette carte est une véritable centrale de télécommande et d alarme par GSM. Elle se connecte par un port série à un modem GSM compatible

Plus en détail

Une bibliothèque de templates pour CUDA

Une bibliothèque de templates pour CUDA Une bibliothèque de templates pour CUDA Sylvain Collange, Marc Daumas et David Defour Montpellier, 16 octobre 2008 Types de parallèlisme de données Données indépendantes n threads pour n jeux de données

Plus en détail

Cours 14 Les fichiers

Cours 14 Les fichiers Cours 14 Les fichiers F. Gayral 1 Fichier : définition /media/travaux/documents/fgenseignement/java1/courstpsfgfc/14-fichiers/voirtypefichiers Fichier = ensemble d informations codées et stockées sur une

Plus en détail

Plan global Outils de développement et compilation. Plan. Objectifs des outils présentés. IDE, GCC/Clang, ASAN, perf, valgrind, GDB.

Plan global Outils de développement et compilation. Plan. Objectifs des outils présentés. IDE, GCC/Clang, ASAN, perf, valgrind, GDB. global Outils de développement et compilation IDE, GCC/Clang, ASAN, perf, valgrind, GDB Timothée Ravier LIFO, INSA-CVL, LIPN 1 re année cycle ingénieur STI 2013 2014 1 / 36 Objectifs des outils présentés

Plus en détail

GCOS 7 sur microprocesseur standard Diane Daniel POIRSON 14 octobre 2004 Matériels 64 / DPS 7 / DPS 7000 Architecture & Evolution - Daniel POIRSON 1

GCOS 7 sur microprocesseur standard Diane Daniel POIRSON 14 octobre 2004 Matériels 64 / DPS 7 / DPS 7000 Architecture & Evolution - Daniel POIRSON 1 sur microprocesseur standard Diane Daniel POIRSON 14 octobre 2004 Matériels 64 / DPS 7 / DPS 7000 Architecture & Evolution - Daniel POIRSON 1 Pourquoi aller vers les processeurs standard? Considérations

Plus en détail

PROJET ALGORITHMIQUE ET PROGRAMMATION II

PROJET ALGORITHMIQUE ET PROGRAMMATION II PROJET 1 ALGORITHMIQUE ET PROGRAMMATION II CONTENU DU RAPPORT A RENDRE : o Fiche signalétique du binôme o Listing des différents fichiers sources o CD sources o Il sera tenu compte de la présentation du

Plus en détail

DotNet. Plan. Les outils de développement

DotNet. Plan. Les outils de développement DotNet Les outils de développement Version 1.03 du 16/10/2006 par Jacky Renno Plan La machine virtuelle Le kit de développement Le kit de langage Le Visual Studio.NET Le serveur web IIS 6.0 Le modeleur

Plus en détail

Licence Sciences et Technologies Examen janvier 2010

Licence Sciences et Technologies Examen janvier 2010 Université de Provence Introduction à l Informatique Licence Sciences et Technologies Examen janvier 2010 Année 2009-10 Aucun document n est autorisé Les exercices peuvent être traités dans le désordre.

Plus en détail

Composants génériques de calcul scientifique

Composants génériques de calcul scientifique Composants génériques de calcul scientifique T. Géraud et A. Duret-Lutz RAPPORT TECHNIQUE 9901 MARS 1999 Laboratoire de Recherche et Développement d EPITA 14-16, rue Voltaire 94276 Le Kremlin-Bicêtre cedex

Plus en détail

CRÉER, ROUTER ET GÉRER UNE NEWSLETTER, UN E-MAILING

CRÉER, ROUTER ET GÉRER UNE NEWSLETTER, UN E-MAILING CRÉER, ROUTER ET GÉRER UNE NEWSLETTER, UN E-MAILING Durée : 3J / 21H Formateur : Consultant expert en PAO et Web-marketing. Groupe de : 4 max Formation au web marketing Objectifs : Mettre en oeuvre des

Plus en détail

Politique de certification et procédures de l autorité de certification CNRS

Politique de certification et procédures de l autorité de certification CNRS Politique de certification et procédures de l autorité de certification CNRS V2.1 1 juin 2001 Jean-Luc Archimbaud CNRS/UREC Directeur technique de l UREC Chargé de mission sécurité réseaux informatiques

Plus en détail

CONVERTISSEURS NA ET AN

CONVERTISSEURS NA ET AN Convertisseurs numériques analogiques (xo Convertisseurs.doc) 1 CONVTIU NA T AN NOT PLIMINAI: Tous les résultats seront exprimés sous formes littérales et encadrées avant les applications numériques. Les

Plus en détail

Proteus Design Suite V7 Instruments virtuels

Proteus Design Suite V7 Instruments virtuels Proteus Design Suite V7 Instruments virtuels Le modèle d oscilloscope virtuel...2 Généralités...2 Utilisation de l oscilloscope...2 Le modèle d analyseur logique...5 Généralités...5 Utilisation de l analyseur

Plus en détail