Représentation et Synthèse des Systèmes Logiques. Travaux Pratiques

Dimension: px
Commencer à balayer dès la page:

Download "Représentation et Synthèse des Systèmes Logiques. Travaux Pratiques"

Transcription

1 ECOLE POLYTECNIQUE UNIVERSITAIRE DE MONTPELLIER Représentation et Synthèse des Systèmes Logiques Travaux Pratiques ERII Objectifs et Organisation des séances de TP : L objectif de ces TP est d appréhender les aspects temporels liés à la conception de circuits logiques. Les délais de propagation des signaux dans les blocs logiques ainsi que les phénomènes transitoires intervenant en sortie de ces blocs sont mis en évidence. A partir de là, les aspects séquentiels sont abordés en insistant sur l aspect temporel, c'est-àdire sur le respect des temps de setup/hold, la définition de la fréquence d horloge etc L accent est particulièrement mis sur une approche «robuste» de la conception de circuits évitant toute structure logique sur les signaux sensibles (signaux asynchrones tels que Clear, Preset ou orloge). L aspect utilisation des technologies programmables et également abordé lors de ces TP en implantant certains circuits (additionneurs, gestionnaire de feux de carrefour) sur la carte FPGA Altera. Durant chaque séance, il est demandé d effectuer un travail de réflexion, d'implantation d'un système et de sa simulation/vérification, à l'aide de l'outil Max+plus II ou Quartus (Altera). L évaluation de ce travail est faite à partir d un compte rendu (succinct ), rendu à la fin de chaque séance. Ce dernier doit présenter les structures développées, les résultats du simulateur, mais surtout une analyse de ces résultats notamment sur l aspect temporel. ECOLE POLYTECNIQUE UNIVERSITAIRE DE MONTPELLIER UNIVERSITE MONTPELLIER II SCIENCES ET TECNIQUES DU LANGUEDOC Place Eugène Bataillon MONTPELLIER CEDEX 5 Tél. : Fax : scola@polytech.univ-montp2.fr

2 ARITMETIQUE BINAIRE L objectif de ce TP est, pour se familiariser aux fonctions du simulateur, de réaliser les modules élémentaires de l arithmétique binaire. 1. Addition binaire a. Concevoir un circuit ADD1 réalisant l addition de 3 bits (2 bits plus retenue). On fournira en sortie la somme et la retenue. b. Concevoir un circuit ADD4 réalisant l addition de deux mots de 4 bits à partir du bloc ADD1 précédent. c. Concevoir et simuler un circuit ADD16 réalisant l addition de deux mots de 16 bits à partir du bloc ADD4 précédent. d. Mettre en évidence la fréquence maximum de fonctionnement du bloc additionneur précédent. 2. Soustraction binaire a. Réaliser un soustracteur binaire de 4 bits b. Réaliser un module qui, en fonction d une commande C, fait soit l addition soit la soustraction de deux nombres de 4 bits. 1

3 REGISTRES et COMPTEURS Le but de ce TP est de se familiariser avec les éléments de base des systèmes logiques séquentiels que sont les bascules, registres et compteurs. L objectif est d étudier la structure de ces éléments et d analyser leur fonctionnement et plus particulièrement leur fonctionnement temporel. 1. Bascules Etudier la bascule D disponible en bibliothèque (DFF). On mettra en évidence : - la table de vérité, - le rôle de l horloge (évolution des sorties sur niveau ou sur front d horloge), - le rôle des forçages (niveaux de forçage - lien avec l horloge). Imaginer une structure de bascule D dont les signaux de forçage à 0 (RAZ) et à 1 (RAU) sont synchrones (Mise à 0 ou à 1 de la sortie sur le front actif de l'horloge). 2. Registres a. A l aide de bascules et de portes, réaliser un registre 4 bits, muni de d une commandes permettant de configurer le registre : - en mode chargement parallèle, - en mode inhibition (mémoire). Il devra, de plus, posséder une commande de forçage à zéro instantané. b. Etudier le registre universel disponible dans la bibliothèque (74194). 3. Combinatoire et Registres Construire un additionneur 4 bits dont les entrées et la sortie sont stockées dans des registres à la cadence d une horloge. Déterminer la fréquence de fonctionnement maximum de la structure. A B Add4 S 4. Compteurs 4.1. Compteurs binaires asynchrones Montrer comment réaliser un diviseur de fréquence par 2 à l aide d une bascule D. En utilisant ce principe, construire un compteur par 16 en plaçant en cascade, 4 diviseurs de fréquence par 2 (prévoir une commande de remise à zéro). A partir du compteur par 16 construire un compteur par 10 en agissant sur la remise à zéro des bascules. Conclusion? On mettra en évidence les transitoires qui font qu un tel montage est à proscrire absolument? 4.2. Compteurs binaires synchrones Ecrire la suite des nombres binaires naturels (a k a k-1... a 1 a 0 ) n, n représentant l équivalent décimal. Que peut-on dire de la valeur de (aj) n+1 par rapport à celle de (aj) n en fonction de (a j-1, a j-2,..., a 1, a 0 ) n? En déduire le schéma de câblage d un compteur binaire synchrone par 10 réalisé avec des bascules D, et des portes. Nota: Le compteur doit être entièrement synchrone et en particulier, la commutation de l'entrée de sélection ne doit pas entraîner de modification directe des sorties du compteur/décompteur. En d'autres termes, toute modification des sorties du compteur ne peut être engendrée que par une transition active du signal d'horloge (front descendant ou front montant). De plus, on s'interdit toute logique sur le signal d'horloge. 2

4 4.3. Comparaison synchrone/asynchrone Faire fonctionner les deux compteurs précédents en parallèle (dans un même circuit). Réaliser une étude comparative. Conclusions? On mettra notamment en évidence les différences de fréquence de fonctionnement entre les 2 montages Compteur/Décompteur de la bibliothèque (Megafonction) En utilisant les macro-cellules (Megafonctions) proposées dans le logiciel, réaliser un compteur/décompteur "synchrone" par 10 possédant 2 entrées de contrôle "Select" et "Inib". - "Select" permet de sélectionner le mode comptage ou le mode décomptage. - "Inib" permet d'inhiber le fonctionnement du compteur/décompteur (conservation de l'état) 3

5 SYSTEMES A BASE DE REGISTRES ET COMPTEURS 1. Mémoire Construire une mémoire de 2 mots de 4 bits contenus dans 2 registres R1 et R2. Cette mémoire doit posséder 3 modes de fonctionnement disjoints - un mode d écriture d une entrée E dans R1 ou R2, - un mode de lecture de R1 ou R2 dans un registre de sortie RS, - un mode qui préserve le contenu de R1 et R2 quand il n est pas demandé de lecture ou d écriture. Cette mémoire doit posséder un signal de sélection (Cs) permettant d inhiber son fonctionnement, un signal permettant de la configurer en lecture ou en écriture (R/W) et un système d adressage (Adr) permettant de sélectionner le registre R1 ou R2 que l on veut lire (transfert dans RS) ou dans lequel on veut écrire. entrée Cs R/W Adr 4? R1 R2 R S 4 sortie mémoire 2. Registre à tassement Dans le cadre de l étude de la régulation de trafic urbain on est amené à simuler la dynamique d une file de voitures sur une voie quand un feu passe au rouge. Un tronçon de voie AB est simulé par un «registre à tassement» de 8 bits, chaque bit représentant la présence (1) ou l absence (0) d une voiture. A chaque coup d horloge une voiture (un «1») en position i avance (se décale vers la droite) si et seulement si il existe une place vide (un «0») entre cette voiture et le feux. Dans le cas contraire la voiture reste à la même place. L état initial de la file est simulé par le chargement parallèle du registre commandé par un signal Init. Init e(7..0) entrée (A) D7 D6 D1 D0 registre à tassement (B) 8 nbre_de_top Construire ce registre sachant que l on désire connaître le nombre de top d horloge nécessaire au remplissage du registre. 3. Séquenceurs On désire concevoir un système produisant la séquence suivante : 0, 1, 2, 3,, n-1, n, n-1, n-2,, 3, 2, 1, 0, 1, 2, 3, Avec n= 15, suggérer un schéma à base du compteur-décompteur (ou équivalent). 4

6 COMMANDE DE FEUX DE CARREFOUR Le but de ce TP est la réalisation d un système électronique permettant de commander les feux tricolores d un carrefour. Les deux voies A et B sont supposées identiques. voie B voie A Cycle des feux Voie A -> V J R R R R Voie B -> R R R V J R La durée de chacun des états d un feu doit donc être la même pour les 2 voies. Pendant un cycle de N unités de temps on doit avoir : t(v A ) = t(v B ) = t(v) =? t(r A ) = t(r B ) = t(r) =? t(j A ) = t(j B ) = t(j) = 1 unité de temps Nota : Pour des raisons de sécurité, lorsqu'un feu doit passer au vert, cela doit être précédé d'une unité de temps ou les deux feux sont simultanément au rouge. a. A partir des relations précédentes, trouver t(r) et t(v) en fonction de N. Application numérique : N = 16. b. Sachant que l on dispose d une horloge générale et d un compteur, construire le diagramme temporel des signaux actifs des voies A et B (Va, Ja, Ra, Vb, Jb, Rb) Pour être complet, le système de commande doit aussi comporter : - une commande INI qui positionne les 2 registres dans l état de départ V A R B, - une commande URG qui doit forcer le système dans l état R A R B en cas d urgence, - une commande JC qui doit positionner les feux en mode jaune clignotant (C : orloge de clignotemment). - INI JC URG COMMANDE DE FEUX DE CARREFOUR V A J A R A V B J B R B 5

7 Architecture basée sur des registre à décalage (Figure 1) Le système de commande des feux de carrefour est conçu autour de 2 registres à recirculation (CIRC), contenant un 1 baladeur et dont les sorties commandent les ampoules R, J, V à travers un étage de décodage du mode de fonctionnement. Les commandes S1 et S2 des 2 registres à recirculation (CIRC) pourront être déduites des diagrammes temporels élaborés à partir des chronogrammes des signaux J, V, R. V B J B R B JC URG C DECOD COMB S2 R2 V2 J2 CIRC S1 RESET INIT CIRC C0 C1 C2 C3 V1 J1 R1 COMPT16 C DECOD V A J A R A Figure 1 : Architecture proposée pour la commande de feux de carrefours Architecture basée sur une machine d état (Figure 2) Construire ce système à partir d un compteur et d une machine d état. Figure 2 : Architecture basée sur une machine d état 6

8 C0 C1 C2 C3 VA JA RA VB JB RB S1 S2 7

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE I/ GÉNÉRALITÉS I.1/ Fonction Un compteur binaire est utilisé : -pour compter un certain nombre d'évènements binaires -pour diviser la fréquence d'un signal logique par 2 m Page 1 FONCTION COMPTAGE BINAIRE

Plus en détail

VIII- Circuits séquentiels. Mémoires

VIII- Circuits séquentiels. Mémoires 1 VIII- Circuits séquentiels. Mémoires Maintenant le temps va intervenir. Nous avions déjà indiqué que la traversée d une porte ne se faisait pas instantanément et qu il fallait en tenir compte, notamment

Plus en détail

IFT1215 Introduction aux systèmes informatiques

IFT1215 Introduction aux systèmes informatiques Introduction aux circuits logiques de base IFT25 Architecture en couches Niveau 5 Niveau 4 Niveau 3 Niveau 2 Niveau Niveau Couche des langages d application Traduction (compilateur) Couche du langage d

Plus en détail

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits Architecture des ordinateurs TD1 - Portes logiques et premiers circuits 1 Rappel : un peu de logique Exercice 1.1 Remplir la table de vérité suivante : a b a + b ab a + b ab a b 0 0 0 1 1 0 1 1 Exercice

Plus en détail

QUESTION 1 {2 points}

QUESTION 1 {2 points} ELE4301 Systèmes logiques II Page 1 de 8 QUESTION 1 {2 points} En se servant de paramètres électriques donnés dans le Tableau 1 ci-dessous, on désire déterminer la fréquence d opération du compteur présenté

Plus en détail

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview.

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. Sciences et Technologies de l Industrie et du Développement Durable Formation des enseignants parcours : ET24 Modèle de

Plus en détail

Les fonctions logiques

Les fonctions logiques 1 Les fonctions logiques Le fonctionnement des ordinateurs tout comme d autres appareils électroniques repose sur l emploi des circuits électroniques de logique binaire ou électronique numérique. Dans

Plus en détail

ELP 304 : Électronique Numérique. Cours 1 Introduction

ELP 304 : Électronique Numérique. Cours 1 Introduction ELP 304 : Électronique Numérique Cours 1 Introduction Catherine Douillard Dépt Électronique Les systèmes numériques : généralités (I) En électronique numérique, le codage des informations utilise deux

Plus en détail

Manipulations du laboratoire

Manipulations du laboratoire Manipulations du laboratoire 1 Matériel Les manipulations de ce laboratoire sont réalisées sur une carte électronique comprenant un compteur 4-bit asynchrone (74LS93) avec possibilité de déclenchement

Plus en détail

INITIATION AU LANGAGE C SUR PIC DE MICROSHIP

INITIATION AU LANGAGE C SUR PIC DE MICROSHIP COURS PROGRAMMATION INITIATION AU LANGAGE C SUR MICROCONTROLEUR PIC page 1 / 7 INITIATION AU LANGAGE C SUR PIC DE MICROSHIP I. Historique du langage C 1972 : naissance du C dans les laboratoires BELL par

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot Année universitaire 2014-2015 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 Codage des nombres en base 2, logique

Plus en détail

Logique binaire. Aujourd'hui, l'algèbre de Boole trouve de nombreuses applications en informatique et dans la conception des circuits électroniques.

Logique binaire. Aujourd'hui, l'algèbre de Boole trouve de nombreuses applications en informatique et dans la conception des circuits électroniques. Logique binaire I. L'algèbre de Boole L'algèbre de Boole est la partie des mathématiques, de la logique et de l'électronique qui s'intéresse aux opérations et aux fonctions sur les variables logiques.

Plus en détail

Université de La Rochelle. Réseaux TD n 6

Université de La Rochelle. Réseaux TD n 6 Réseaux TD n 6 Rappels : Théorème de Nyquist (ligne non bruitée) : Dmax = 2H log 2 V Théorème de Shannon (ligne bruitée) : C = H log 2 (1+ S/B) Relation entre débit binaire et rapidité de modulation :

Plus en détail

SUR MODULE CAMÉRA C38A (OV7620)

SUR MODULE CAMÉRA C38A (OV7620) Applications maquette d'étude EP10K20 DÉMULTIPLEXEUR BT.656 SUR MODULE CAMÉRA C38A OV7620 SCHÉMAS ET DESCRIPTIONS AHDL 1. Schéma principal Le démultiplexeur proprement dit est la fonction "Decod_BT656_1".

Plus en détail

La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA)

La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA) La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA) I. L'intérêt de la conversion de données, problèmes et définitions associés. I.1. Définitions:

Plus en détail

Tout savoir sur le matériel informatique

Tout savoir sur le matériel informatique Tout savoir sur le matériel informatique Thème de l exposé : Les Processeurs Date : 05 Novembre 2010 Orateurs : Hugo VIAL-JAIME Jérémy RAMBAUD Sommaire : 1. Introduction... 3 2. Historique... 4 3. Relation

Plus en détail

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd UE 503 L3 MIAGE Initiation Réseau et Programmation Web La couche physique A. Belaïd abelaid@loria.fr http://www.loria.fr/~abelaid/ Année Universitaire 2011/2012 2 Le Modèle OSI La couche physique ou le

Plus en détail

Représentation d un entier en base b

Représentation d un entier en base b Représentation d un entier en base b 13 octobre 2012 1 Prérequis Les bases de la programmation en langage sont supposées avoir été travaillées L écriture en base b d un entier est ainsi défini à partir

Plus en détail

ASR1 TD7 : Un microprocesseur RISC 16 bits

ASR1 TD7 : Un microprocesseur RISC 16 bits {Â Ö Ñ º ØÖ Ý,È ØÖ ºÄÓ Ù,Æ ÓÐ ºÎ ÝÖ Ø¹ ÖÚ ÐÐÓÒ} Ò ¹ÐÝÓÒº Ö ØØÔ»»Ô Ö Óº Ò ¹ÐÝÓÒº Ö» Ö Ñ º ØÖ Ý»¼ Ö½» ASR1 TD7 : Un microprocesseur RISC 16 bits 13, 20 et 27 novembre 2006 Présentation générale On choisit

Plus en détail

REALISATION D UNE CALCULATRICE GRACE AU LOGICIEL CROCODILE CLIPS 3.

REALISATION D UNE CALCULATRICE GRACE AU LOGICIEL CROCODILE CLIPS 3. 1 sur 6 REALISATION D UNE CALCULATRICE GRACE AU LOGICIEL CROCODILE CLIPS 3. OBJECTIF - PUBLIC - LOGICIEL - MATERIEL - METHODE - BIBLIOGRAPHIE - AVANTAGES - DIFFICULTES - AUTEUR DU DOCUMENT - LE DOCUMENT

Plus en détail

Conversion d un entier. Méthode par soustraction

Conversion d un entier. Méthode par soustraction Conversion entre bases Pour passer d un nombre en base b à un nombre en base 10, on utilise l écriture polynomiale décrite précédemment. Pour passer d un nombre en base 10 à un nombre en base b, on peut

Plus en détail

J AUVRAY Systèmes Electroniques TRANSMISSION DES SIGNAUX NUMERIQUES : SIGNAUX EN BANDE DE BASE

J AUVRAY Systèmes Electroniques TRANSMISSION DES SIGNAUX NUMERIQUES : SIGNAUX EN BANDE DE BASE RANSMISSION DES SIGNAUX NUMERIQUES : SIGNAUX EN BANDE DE BASE Un message numérique est une suite de nombres que l on considérera dans un premier temps comme indépendants.ils sont codés le plus souvent

Plus en détail

GPA770 Microélectronique appliquée Exercices série A

GPA770 Microélectronique appliquée Exercices série A GPA770 Microélectronique appliquée Exercices série A 1. Effectuez les calculs suivants sur des nombres binaires en complément à avec une représentation de 8 bits. Est-ce qu il y a débordement en complément

Plus en détail

Cours Informatique 1. Monsieur SADOUNI Salheddine

Cours Informatique 1. Monsieur SADOUNI Salheddine Cours Informatique 1 Chapitre 2 les Systèmes Informatique Monsieur SADOUNI Salheddine Un Système Informatique lesystème Informatique est composé de deux parties : -le Matériel : constitué de l unité centrale

Plus en détail

IV- Comment fonctionne un ordinateur?

IV- Comment fonctionne un ordinateur? 1 IV- Comment fonctionne un ordinateur? L ordinateur est une alliance du hardware (le matériel) et du software (les logiciels). Jusqu à présent, nous avons surtout vu l aspect «matériel», avec les interactions

Plus en détail

Millenium3 Atelier de programmation

Millenium3 Atelier de programmation Millenium3 Millenium 3 Millenium3 1. Aide en ligne CLSM3... 2 1.1 Présentation de l'atelier de programmation... 2 1.1.1 Présentation de l'atelier de programmation... 2 1.2 Comment débuter avec l'atelier

Plus en détail

I- Définitions des signaux.

I- Définitions des signaux. 101011011100 010110101010 101110101101 100101010101 Du compact-disc, au DVD, en passant par l appareil photo numérique, le scanner, et télévision numérique, le numérique a fait une entrée progressive mais

Plus en détail

Atelier C TIA Portal CTIA04 : Programmation des automates S7-300 Opérations numériques

Atelier C TIA Portal CTIA04 : Programmation des automates S7-300 Opérations numériques Atelier C TIA Portal CTIA04 : Programmation des automates S7-300 Opérations numériques CTIA04 Page 1 1. Les types de données sous S7 300 Il existe plusieurs types de données utilisées pour la programmation

Plus en détail

Architecture : Circuits numériques et éléments d architecture

Architecture : Circuits numériques et éléments d architecture Ecole Nationale Supérieure d Informatique et de Mathématiques Appliquées Architecture : Circuits numériques et éléments d architecture 1 ère année Année scolaire 2014 2015 Consignes Les exercices de ce

Plus en détail

Transmission d informations sur le réseau électrique

Transmission d informations sur le réseau électrique Transmission d informations sur le réseau électrique Introduction Remarques Toutes les questions en italique devront être préparées par écrit avant la séance du TP. Les préparations seront ramassées en

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot et Sébastien Viardot Année universitaire 2011-2012 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 C12 Codage des

Plus en détail

Architecture des ordinateurs

Architecture des ordinateurs Architecture des ordinateurs Cours 4 5 novembre 2012 Archi 1/22 Micro-architecture Archi 2/22 Intro Comment assembler les différents circuits vus dans les cours précédents pour fabriquer un processeur?

Plus en détail

Licence Sciences et Technologies Examen janvier 2010

Licence Sciences et Technologies Examen janvier 2010 Université de Provence Introduction à l Informatique Licence Sciences et Technologies Examen janvier 2010 Année 2009-10 Aucun document n est autorisé Les exercices peuvent être traités dans le désordre.

Plus en détail

TD Architecture des ordinateurs. Jean-Luc Dekeyser

TD Architecture des ordinateurs. Jean-Luc Dekeyser TD Architecture des ordinateurs Jean-Luc Dekeyser Fiche 1 Nombres de l informatique Exercice 1 Une entreprise désire réaliser la sauvegarde de ses données sur un site distant. Le volume de données à sauvegarder

Plus en détail

1. PRESENTATION DU PROJET

1. PRESENTATION DU PROJET Bac STI2D Formation des enseignants Jean-François LIEBAUT Denis PENARD SIN 63 : Prototypage d un traitement de l information analogique et numérique (PSoC) 1. PRESENTATION DU PROJET Les systèmes d éclairage

Plus en détail

Recueil d'exercices de logique séquentielle

Recueil d'exercices de logique séquentielle Recueil d'exercices de logique séquenielle Les bascules: / : Bascule JK Bascule D. Expliquez commen on peu modifier une bascule JK pour obenir une bascule D. 2/ Eude d un circui D Q Q Sorie A l aide d

Plus en détail

Représentation des Nombres

Représentation des Nombres Chapitre 5 Représentation des Nombres 5. Representation des entiers 5.. Principe des représentations en base b Base L entier écrit 344 correspond a 3 mille + 4 cent + dix + 4. Plus généralement a n a n...

Plus en détail

Informatique Générale

Informatique Générale Informatique Générale Guillaume Hutzler Laboratoire IBISC (Informatique Biologie Intégrative et Systèmes Complexes) guillaume.hutzler@ibisc.univ-evry.fr Cours Dokeos 625 http://www.ens.univ-evry.fr/modx/dokeos.html

Plus en détail

MICROCONTROLEURS PIC PROGRAMMATION EN C. V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44

MICROCONTROLEURS PIC PROGRAMMATION EN C. V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44 MICROCONTROLEURS PIC PROGRAMMATION EN C V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44 Chapitre 1 GENERALITES 1 DEFINITION Un microcontrôleur est un microprocesseur RISC (Reduced Instruction Set

Plus en détail

CONVERTISSEURS NA ET AN

CONVERTISSEURS NA ET AN Convertisseurs numériques analogiques (xo Convertisseurs.doc) 1 CONVTIU NA T AN NOT PLIMINAI: Tous les résultats seront exprimés sous formes littérales et encadrées avant les applications numériques. Les

Plus en détail

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007

Introduction à l architecture des ordinateurs. Adrien Lebre Décembre 2007 Introduction à l architecture des ordinateurs Adrien Lebre Décembre 2007 Plan - partie 1 Vue d ensemble La carte mère Le processeur La mémoire principal Notion de bus Introduction à l architecture des

Plus en détail

Auto formation à Zelio logic

Auto formation à Zelio logic Auto formation à Zelio logic 1 Les Produits Félicitations, vous avez choisi l'un des produits Zelio 2 suivants : 2 Environnement Le Zelio Logic est programmable à l'aide du logiciel Zelio Soft ou en Saisie

Plus en détail

Initiation au binaire

Initiation au binaire Présenté par TryEngineering Objet de la leçon Cette leçon explique les principes du code binaire et ses applications possibles par les ingénieurs informaticiens. Dans cette leçon, les élèves réaliseront

Plus en détail

MICROINFORMATIQUE NOTE D APPLICATION 1 (REV. 2011) ARITHMETIQUE EN ASSEMBLEUR ET EN C

MICROINFORMATIQUE NOTE D APPLICATION 1 (REV. 2011) ARITHMETIQUE EN ASSEMBLEUR ET EN C Haute Ecole d Ingénierie et de Gestion Du Canton du Vaud MICROINFORMATIQUE NOTE D APPLICATION 1 (REV. 2011) ARITHMETIQUE EN ASSEMBLEUR ET EN C Programmation en mode simulation 1. DOCUMENTS DE RÉFÉRENCE...

Plus en détail

IFT2880 Organisation des ordinateurs et systèmes

IFT2880 Organisation des ordinateurs et systèmes Représentation des nombres flottants Notation exponentielle Représentations équivalentes dans la base 10 de 1,234 1 2 3, 4 0 0. 0 x 1 0-2 1 2, 3 4 0. 0 x 1 0-1 1, 2 3 4. 0 x 1 0 1 2 3. 4 x 1 0 1 2. 3 4

Plus en détail

MPI Activité.10 : Logique binaire Portes logiques

MPI Activité.10 : Logique binaire Portes logiques MPI Activité.10 : Logique binaire Portes logiques I. Introduction De nombreux domaines font appel aux circuits logiques de commutation : non seulement l'informatique, mais aussi les technologies de l'asservissement

Plus en détail

Fiche technique CPU 314SC/DPM (314-6CG13)

Fiche technique CPU 314SC/DPM (314-6CG13) Fiche technique CPU 314SC/DPM (3146CG13) Données techniques N de commande 3146CG13 Type CPU 314SC/DPM Information générale Note Caractéristiques SPEEDBus Technologie SPEED7 24 x DI, 16 x DO, 8 x DIO, 4

Plus en détail

Logique séquentielle

Logique séquentielle Bascules et logique séquentielle aniel Etiemble de@lri.fr Logique séquentielle Logique séquentielle Le système a des «états» ans un système séquentiel Éléments de mémorisation Les sorties dépendent des

Plus en détail

Système binaire. Algèbre booléenne

Système binaire. Algèbre booléenne Algèbre booléenne Système binaire Système digital qui emploie des signaux à deux valeurs uniques En général, les digits employés sont 0 et 1, qu'on appelle bits (binary digits) Avantages: on peut utiliser

Plus en détail

V- Manipulations de nombres en binaire

V- Manipulations de nombres en binaire 1 V- Manipulations de nombres en binaire L ordinateur est constitué de milliards de transistors qui travaillent comme des interrupteurs électriques, soit ouverts soit fermés. Soit la ligne est activée,

Plus en détail

Chapitre 10 Arithmétique réelle

Chapitre 10 Arithmétique réelle Chapitre 10 Arithmétique réelle Jean Privat Université du Québec à Montréal INF2170 Organisation des ordinateurs et assembleur Automne 2013 Jean Privat (UQAM) 10 Arithmétique réelle INF2170 Automne 2013

Plus en détail

Eléments de spécification des systèmes temps réel Pierre-Yves Duval (cppm)

Eléments de spécification des systèmes temps réel Pierre-Yves Duval (cppm) Eléments de spécification des systèmes temps réel Pierre-Yves Duval (cppm) Ecole d informatique temps réel - La Londes les Maures 7-11 Octobre 2002 - Evénements et architectures - Spécifications de performances

Plus en détail

Codage d information. Codage d information : -Définition-

Codage d information. Codage d information : -Définition- Introduction Plan Systèmes de numération et Représentation des nombres Systèmes de numération Système de numération décimale Représentation dans une base b Représentation binaire, Octale et Hexadécimale

Plus en détail

Extrait des Exploitations Pédagogiques

Extrait des Exploitations Pédagogiques Pédagogiques Module : Compétitivité et créativité CI Première : Compétitivité et créativité CI institutionnel : Développement durable et compétitivité des produits Support : Robot - O : Caractériser les

Plus en détail

Introduction à l informatique temps réel Pierre-Yves Duval (cppm)

Introduction à l informatique temps réel Pierre-Yves Duval (cppm) Introduction à l informatique temps réel Pierre-Yves Duval (cppm) Ecole d informatique temps réel - La Londes les Maures 7-11 Octobre 2002 -Définition et problématique - Illustration par des exemples -Automatisme:

Plus en détail

LA MESURE INDUSTRIELLE

LA MESURE INDUSTRIELLE E02 LA MESURE INDUSTRIELLE 20 Heures Technicien responsable de la maintenance Approfondir les techniques de mesure; Prendre en compte l aspect métrologie. Connaître les limites et les facteurs d influences

Plus en détail

1 Description générale de VISFIELD

1 Description générale de VISFIELD Guide d utilisation du logiciel VISFIELD Yann FRAIGNEAU LIMSI-CNRS, Bâtiment 508, BP 133 F-91403 Orsay cedex, France 11 décembre 2012 1 Description générale de VISFIELD VISFIELD est un programme écrit

Plus en détail

Innovations Majeures de la Version 4

Innovations Majeures de la Version 4 Innovations Majeures de la Version 4 Un nouvel environnement SIG avec de puissants outils graphiques. De nouveaux moteurs hydrologiques et hydrauliques plus sûrs et plus performants. De nouveaux modes

Plus en détail

Cours 1 : Introduction Ordinateurs - Langages de haut niveau - Application

Cours 1 : Introduction Ordinateurs - Langages de haut niveau - Application Université de Provence Licence Math-Info Première Année V. Phan Luong Algorithmique et Programmation en Python Cours 1 : Introduction Ordinateurs - Langages de haut niveau - Application 1 Ordinateur Un

Plus en détail

Génie Industriel et Maintenance

Génie Industriel et Maintenance Génie Industriel et Maintenance Pour qu aucun de ces systèmes ne tombe en panne. Plan de la visite 1 2 3 6 4 5 Guide visite du département Génie Industriel et Maintenance 1 Salles Informatiques Utilisation

Plus en détail

La mémoire. Un ordinateur. L'octet. Le bit

La mémoire. Un ordinateur. L'octet. Le bit Introduction à l informatique et à la programmation Un ordinateur Un ordinateur est une machine à calculer composée de : un processeur (ou unité centrale) qui effectue les calculs une mémoire qui conserve

Plus en détail

RENOVER LES FEUX TRICOLORES

RENOVER LES FEUX TRICOLORES Matinale de l Energie RENOVER LES FEUX TRICOLORES Pourquoi le faire, Comment, A quel prix Matinales 2014 Page 1 sommaire 1. UN CADRE JURIDIQUE CONTRAINT 2. LE FONCTIONNEMENT DES FEUX 3. LA MAINTENANCE

Plus en détail

Projet # 3 Serrure à deux clés

Projet # 3 Serrure à deux clés Département d électronique industrielle Projet # 3 Serrure à deux clés Semaines 8 et 9, 10 Session 1 Circuits logiques 243-206-RA Automne 2010 Tables des matières 1 OBJECTIFS DE L ACTIVITÉ... 3 1.1 COMPÉTENCES

Plus en détail

CONFIGURATION DE L AUTOMATE SIEMENS

CONFIGURATION DE L AUTOMATE SIEMENS CONFIGURATION DE L AUTOMATE SIEMENS Créer un projet Dans le bureau de Windows, double-cliquer sur l icône «SIMATIC Manager» : Cliquer ensuite sur l icône «nouveau» : Choisir un nom de projet et valider

Plus en détail

UFR de Mathématiques et Informatique Année 2009/2010. Réseaux Locaux TP 04 : ICMP, ARP, IP

UFR de Mathématiques et Informatique Année 2009/2010. Réseaux Locaux TP 04 : ICMP, ARP, IP Université de Strasbourg Licence Pro ARS UFR de Mathématiques et Informatique Année 2009/2010 1 Adressage IP 1.1 Limites du nombre d adresses IP 1.1.1 Adresses de réseaux valides Réseaux Locaux TP 04 :

Plus en détail

KL5121. Pour activer des sorties en fonction de la position d'un codeur

KL5121. Pour activer des sorties en fonction de la position d'un codeur KL5121 Pour activer des sorties en fonction de la position d'un codeur VERSION : 1.0 / PH DATE : 07 Février 2006 Sommaire Ce manuel explique de manière pratique les étapes successives pour mettre en œuvre

Plus en détail

Chapitre 4 : Les mémoires

Chapitre 4 : Les mémoires 1. Introduction: Chapitre 4 : Les mémoires Nous savons que dans un ordinateur toutes les informations : valeur numérique, instruction, adresse, symbole (chiffre, lettre,... etc.) sont manipulées sous une

Plus en détail

Série 77 - Relais statiques modulaires 5A. Caractéristiques. Relais temporisés et relais de contrôle

Série 77 - Relais statiques modulaires 5A. Caractéristiques. Relais temporisés et relais de contrôle Série 77 - Relais statiques modulaires 5A Caractéristiques 77.01.x.xxx.8050 77.01.x.xxx.8051 Relais statiques modulaires, Sortie 1NO 5A Largeur 17.5mm Sortie AC Isolation entre entrée et sortie 5kV (1.2/

Plus en détail

Transmissions série et parallèle

Transmissions série et parallèle 1. Introduction : Un signal numérique transmet généralement plusieurs digits binaires. Exemple : 01000001 ( huit bits). Dans une transmission numérique on peut envisager deux modes : les envoyer tous en

Plus en détail

Acquisition et conditionnement de l information Les capteurs

Acquisition et conditionnement de l information Les capteurs Acquisition et conditionnement de l information Les capteurs COURS 1. Exemple d une chaîne d acquisition d une information L'acquisition de la grandeur physique est réalisée par un capteur qui traduit

Plus en détail

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique

Hiérarchie matériel dans le monde informatique. Architecture d ordinateur : introduction. Hiérarchie matériel dans le monde informatique Architecture d ordinateur : introduction Dimitri Galayko Introduction à l informatique, cours 1 partie 2 Septembre 2014 Association d interrupteurs: fonctions arithmétiques élémentaires Elément «NON» Elément

Plus en détail

DU BINAIRE AU MICROPROCESSEUR - D ANGELIS CIRCUITS CONFIGURABLES NOTION DE PROGRAMMATION

DU BINAIRE AU MICROPROCESSEUR - D ANGELIS CIRCUITS CONFIGURABLES NOTION DE PROGRAMMATION 145 NOTION DE PROGRAMMATION 1/ Complétons notre microprocesseur Nous avons, dans les leçons précédentes décrit un microprocesseur théorique, cependant il s inspire du 6800, premier microprocesseur conçu

Plus en détail

Organisation des Ordinateurs

Organisation des Ordinateurs Organisation des Ordinateurs Bernard Boigelot E-mail : boigelot@montefiore.ulg.ac.be URL : http://www.montefiore.ulg.ac.be/~boigelot/ http://www.montefiore.ulg.ac.be/~boigelot/cours/org/ 1 Chapitre 1 Les

Plus en détail

Le Millenium 3 pour les nuls!! Phase 2 : Les blocs fonction

Le Millenium 3 pour les nuls!! Phase 2 : Les blocs fonction Le Millenium 3 pour les nuls!! Phase 2 : Les blocs fonction Document rédigé par Pascal Bigot pour le site et le forum APPER Introduction : Le premier tutoriel vous a normalement permis de prendre en main

Plus en détail

TP Modulation Démodulation BPSK

TP Modulation Démodulation BPSK I- INTRODUCTION : TP Modulation Démodulation BPSK La modulation BPSK est une modulation de phase (Phase Shift Keying = saut discret de phase) par signal numérique binaire (Binary). La phase d une porteuse

Plus en détail

Infos. Indicateurs analogiques encastrables pour installation à courants forts. Série M W/P/ LSP BWQ BGQ TP TG WQ /0S WQ /2S FQ /2 W BI BIW DFQ

Infos. Indicateurs analogiques encastrables pour installation à courants forts. Série M W/P/ LSP BWQ BGQ TP TG WQ /0S WQ /2S FQ /2 W BI BIW DFQ Infos Série M 200.U.003.05 encastrables pour installation à courants forts Série M W/P/ LSP pour montage sur rail normé BWQ BGQ TP TG WQ /0S WQ /2S FQ /2 W BI BIW SY Compteurs horaires Voltmètres partiels

Plus en détail

Architecture Matérielle et Logicielle (LIF6) Cahier d'exercices, automne 2014

Architecture Matérielle et Logicielle (LIF6) Cahier d'exercices, automne 2014 Architecture Matérielle et Logicielle (LIF6) Cahier d'exercices, automne 2014 Table des matières 1 Vue d'ensemble de l'ordinateur 4 11 Taille du bus, volume de mémoire centrale 4 12 Petits calculs autour

Plus en détail

Concevoir son microprocesseur

Concevoir son microprocesseur Concevoir son microprocesseur structure des systèmes logiques Jean-Christophe Buisson Collection Technosup Ellipses Avant-propos Ce livre s adresse aux étudiants en informatique de licence et maîtrise,

Plus en détail

Programmation C. Apprendre à développer des programmes simples dans le langage C

Programmation C. Apprendre à développer des programmes simples dans le langage C Programmation C Apprendre à développer des programmes simples dans le langage C Notes de cours sont disponibles sur http://astro.u-strasbg.fr/scyon/stusm (attention les majuscules sont importantes) Modalités

Plus en détail

République Tunisienne Ministère de l'education. Sciences Techniques. Manuel d activités. Les auteurs. Ali ZITOUNI Inspecteur des lycées et collèges

République Tunisienne Ministère de l'education. Sciences Techniques. Manuel d activités. Les auteurs. Ali ZITOUNI Inspecteur des lycées et collèges République Tunisienne Ministère de l'education GÉNIE ELECTRIQUE 4 ème année de l enseignement secondaire Sciences Techniques Manuel d activités Les auteurs Ammar MZOUGHI Inspecteur Principal des lycées

Plus en détail

Master d'informatique 1ère année. Réseaux et protocoles. Architecture : les bases

Master d'informatique 1ère année. Réseaux et protocoles. Architecture : les bases Master d'informatique 1ère année Réseaux et protocoles Architecture : les bases Bureau S3-203 Mailto : alexis.lechervy@unicaen.fr D'après un cours de Jean Saquet Réseaux physiques LAN : Local Area Network

Plus en détail

Livret - 1. Informatique : le matériel. --- Ordinateur, circuits, codage, système, réseau. Cours informatique programmation.

Livret - 1. Informatique : le matériel. --- Ordinateur, circuits, codage, système, réseau. Cours informatique programmation. Livret - 1 Informatique : le matériel --- Ordinateur, circuits, codage, système, réseau. RM di scala Cours informatique programmation Rm di Scala - http://www.discala.net SOMMAIRE Introduction 2 Notations

Plus en détail

Introduction à l algorithmique et à la programmation (Info 2)

Introduction à l algorithmique et à la programmation (Info 2) Introduction à l algorithmique et à la programmation (Info 2) Premier cours: présentation du module, codage et définition de l algorithmique Matthieu Puigt IUT du Littoral Côte d Opale DUT Génie Industriel

Plus en détail

USTL - Licence ST-A 1ère année 2005-2006 Codage de l information TP 1 :

USTL - Licence ST-A 1ère année 2005-2006 Codage de l information TP 1 : USTL - Licence ST-A 1ère année 2005-2006 Codage de l information TP 1 : Objectifs du TP Ce TP a pour but 1. de découvrir quelques opérations logiques sur les nombres 2. et quelques formats de fichiers.

Plus en détail

Cours de Programmation en Langage Synchrone SIGNAL. Bernard HOUSSAIS IRISA. Équipe ESPRESSO

Cours de Programmation en Langage Synchrone SIGNAL. Bernard HOUSSAIS IRISA. Équipe ESPRESSO Cours de Programmation en Langage Synchrone SIGNAL Bernard HOUSSAIS IRISA. Équipe ESPRESSO 24 septembre 2004 TABLE DES MATIÈRES 3 Table des matières 1 Introduction 5 1.1 La Programmation Temps Réel.........................

Plus en détail

Modules d automatismes simples

Modules d automatismes simples Modules d automatismes simples Solutions pour automatiser Modules d'automatismes Enfin, vraiment simple! Un concentré de solution Pour vos petites applications d'automatismes millenium gère : Temporisations

Plus en détail

Programmation assembleur : aperçu

Programmation assembleur : aperçu Assembleur : Younès EL AMRANI. 1 Programmation assembleur : aperçu Programmation en assembleur : NASM Module M14 Semestre 4 Printemps 2010 Equipe pédagogique : Younès El Amrani, Abdelhakim El Imrani, Faissal

Plus en détail

Informatique Industrielle

Informatique Industrielle Informatique Industrielle Cours Master SIS Micro-contrôleurs Microchip Intervenants : Marc Allain - marc.allain@fresnel.fr Julien Marot - julien.marot@fresnel.fr Coordonnées Marc Allain [Maître de conférence]

Plus en détail

Comme chaque ligne de cache a 1024 bits. Le nombre de lignes de cache contenu dans chaque ensemble est:

Comme chaque ligne de cache a 1024 bits. Le nombre de lignes de cache contenu dans chaque ensemble est: Travaux Pratiques 3. IFT 1002/IFT 1005. Structure Interne des Ordinateurs. Département d'informatique et de génie logiciel. Université Laval. Hiver 2012. Prof : Bui Minh Duc. Tous les exercices sont indépendants.

Plus en détail

Arithmétique binaire. Chapitre. 5.1 Notions. 5.1.1 Bit. 5.1.2 Mot

Arithmétique binaire. Chapitre. 5.1 Notions. 5.1.1 Bit. 5.1.2 Mot Chapitre 5 Arithmétique binaire L es codes sont manipulés au quotidien sans qu on s en rende compte, et leur compréhension est quasi instinctive. Le seul fait de lire fait appel au codage alphabétique,

Plus en détail

1/24. I passer d un problème exprimé en français à la réalisation d un. I expressions arithmétiques. I structures de contrôle (tests, boucles)

1/24. I passer d un problème exprimé en français à la réalisation d un. I expressions arithmétiques. I structures de contrôle (tests, boucles) 1/4 Objectif de ce cours /4 Objectifs de ce cours Introduction au langage C - Cours Girardot/Roelens Septembre 013 Du problème au programme I passer d un problème exprimé en français à la réalisation d

Plus en détail

RESUME DE COURS ET CAHIER D'EXERCICES

RESUME DE COURS ET CAHIER D'EXERCICES ARCITECTURE INFO-UP REUME DE COUR ET CAIER D'EXERCICE EPITA F. GABON Architecture EPITA INFO-UP F. Gabon COUR LIVRE D ARCITECTURE 3 REUME D'ELECTRONIUE LOGIUE 4 YTEME DE NUMERATION 6 ALGEBRE DE BOOLE 6

Plus en détail

Régler les paramètres de mesure en choisissant un intervalle de mesure 10µs et 200 mesures.

Régler les paramètres de mesure en choisissant un intervalle de mesure 10µs et 200 mesures. TP Conversion analogique numérique Les machines numériques qui nous entourent ne peuvent, du fait de leur structure, que gérer des objets s composés de 0 et de. Une des étapes fondamentale de l'interaction

Plus en détail

Le multiplexage. Sommaire

Le multiplexage. Sommaire Sommaire Table des matières 1- GENERALITES... 2 1-1 Introduction... 2 1-2 Multiplexage... 4 1-3 Transmission numérique... 5 2- LA NUMERATION HEXADECIMALE Base 16... 8 3- ARCHITECTURE ET PROTOCOLE DES RESEAUX...

Plus en détail

Electricien(ne) Automaticien(ne)

Electricien(ne) Automaticien(ne) Enseignement technique Section de qualification 3 ème degré Electricien(ne) Automaticien(ne) Institut Saint-Joseph (Ecole Technique) Troisième degré Technique de qualification Electricien(ne) - Automaticien(ne)

Plus en détail

REALISATION d'un. ORDONNANCEUR à ECHEANCES

REALISATION d'un. ORDONNANCEUR à ECHEANCES REALISATION d'un ORDONNANCEUR à ECHEANCES I- PRÉSENTATION... 3 II. DESCRIPTION DU NOYAU ORIGINEL... 4 II.1- ARCHITECTURE... 4 II.2 - SERVICES... 4 III. IMPLÉMENTATION DE L'ORDONNANCEUR À ÉCHÉANCES... 6

Plus en détail

Éléments d informatique Cours 3 La programmation structurée en langage C L instruction de contrôle if

Éléments d informatique Cours 3 La programmation structurée en langage C L instruction de contrôle if Éléments d informatique Cours 3 La programmation structurée en langage C L instruction de contrôle if Pierre Boudes 28 septembre 2011 This work is licensed under the Creative Commons Attribution-NonCommercial-ShareAlike

Plus en détail

Notice d'utilisation Afficheur multifonctions et système d'évaluation FX 360. Mode/Enter

Notice d'utilisation Afficheur multifonctions et système d'évaluation FX 360. Mode/Enter Notice d'utilisation Afficheur multifonctions et système d'évaluation FR FX 360 7390275 / 08 07 / 2009 Mode/Enter Set Consignes de sécurité Cette notice fait partie de l'appareil. Elle fournit des textes

Plus en détail

- Instrumentation numérique -

- Instrumentation numérique - - Instrumentation numérique - I.Présentation du signal numérique. I.1. Définition des différents types de signaux. Signal analogique: Un signal analogique a son amplitude qui varie de façon continue au

Plus en détail