GELE2442 Chapitre 6 : Circuits séquentiels

Dimension: px
Commencer à balayer dès la page:

Download "GELE2442 Chapitre 6 : Circuits séquentiels"

Transcription

1 GELE2442 Chapitre 6 : Circuits séquentiels Gabriel Cormier, Ph.D., ing. Université de Moncton Hiver 2015 Gabriel Cormier (UdeM) GELE2442 Chapitre 6 Hiver / 39

2 Contenu 1 Circuits séquentiels 2 Verrous 3 Bascules 4 Analyse de circuits séquentiels 5 Machines Mealy et Moore 6 Conception de machines d état synchrones Gabriel Cormier (UdeM) GELE2442 Chapitre 6 Hiver / 39

3 Circuits séquentiels Circuits séquentiels Plusieurs circuits courants ont besoin de mémoire Les circuits séquentiels sont des mémoire de base Circuit séquentiel: la sortie dépend des entrées et de la sortie précédente Gabriel Cormier (UdeM) GELE2442 Chapitre 6 Hiver / 39

4 Circuits séquentiels Circuits séquentiels Entrées Circuit combinatoire Éléments mémoire Sorties Figure 1 : Schéma-bloc d un circuit séquentiel Gabriel Cormier (UdeM) GELE2442 Chapitre 6 Hiver / 39

5 Circuits séquentiels Circuit séquentiel Reçoit l information des entrées externes qui, avec l état actuel des éléments de stockage, détermine les sorties Les entrées externes vont aussi déterminer l état du système à l étape suivante Deux types: Synchrone:le comportement est déterminé par les signaux à des instants discrets de temps Asynchrone: le comportement est déterminé par les signaux à n importe quel instant, et l ordre avec lequel les entrées varient Gabriel Cormier (UdeM) GELE2442 Chapitre 6 Hiver / 39

6 Circuits séquentiels Circuit synchrone La synchronisation est obtenue à l aide d une horloge Horloge: signal périodique (habituellement avec un rapport cyclique de 50%) L horloge est distribuée à l ensemble du système: les éléments de stockage sont seulement affectés avec l arrivée d un pulse d horloge L horloge détermine quand il y a activité dans le circuit, et les autres signaux déterminent quoi Gabriel Cormier (UdeM) GELE2442 Chapitre 6 Hiver / 39

7 Circuits séquentiels Bascule Bascule: éléments de stockage (mémoire) dans des circuits séquentiels (flip-flop) Peut seulement stocker 1 bit Dans un état stable, la sortie est un 0 ou 1 Gabriel Cormier (UdeM) GELE2442 Chapitre 6 Hiver / 39

8 Verrous Verrous Élément de stockage qui fonctionne avec le niveau des signaux Bascule: contrôlé par de la transition de l horloge On utilise des verrous pour créer des bascules Typiquement, on utilise des bascules, plutôt que des verrous, pour stocker des données Gabriel Cormier (UdeM) GELE2442 Chapitre 6 Hiver / 39

9 Verrous Verrou SR Verrou SR R (reset) S (set) Q Q Figure 2 : S R Q Q (après S = 1, R = 0) (après S = 0, R = 1) (interdit) Verrou SR Gabriel Cormier (UdeM) GELE2442 Chapitre 6 Hiver / 39

10 Verrous Verrou SR Verrou SR avec activation R EN S Q Q EN S R Prochain état Q 0 X X Aucun changement Aucun changement Q = Q = Indéterminé Figure 3 : Verrou SR avec activation Gabriel Cormier (UdeM) GELE2442 Chapitre 6 Hiver / 39

11 Verrous Verrou D Verrou D Permet d éliminer l état interdit 1-1 A une seule entrée D (pour données) Aura une entrée d activation Gabriel Cormier (UdeM) GELE2442 Chapitre 6 Hiver / 39

12 Verrous Verrou D Verrou D D EN Q Q EN D Prochain état Q 0 X Aucun changement 1 0 Q = Q = 1 Figure 4 : Verrou D avec activation Quand EN = 1, Q = D: le verrou est en mode transparent Quand EN = 0, Q = Q: le verrou est en mode mémoire Gabriel Cormier (UdeM) GELE2442 Chapitre 6 Hiver / 39

13 Bascules Bascules Avec des verrous: problèmes possibles de synchronisation Si l entrée du verrou varie (ou n est pas stable) pendant que EN = 1, la sortie variera elle aussi, ce qui peut générer des erreurs On utilise plutôt des bascules, au lieu des verrous, pour avoir une mémoire Gabriel Cormier (UdeM) GELE2442 Chapitre 6 Hiver / 39

14 Bascules Bascule D Bascule D Construit avec 2 verrous D Le premier verrou est le primaire, et l autre est le secondaire La bascule fonctionne avec une horloge Le circuit échantillonne l entrée D et change seulement la sortie lorsque l horloge fait la transition de 1 0 Gabriel Cormier (UdeM) GELE2442 Chapitre 6 Hiver / 39

15 Bascules Bascule D Bascule D D D Q Y D Q Q D Q Verrou D primaire Verrou D secondaire Bascule D EN EN CLK CLK Figure 5 : Bascule D négative et symbole Gabriel Cormier (UdeM) GELE2442 Chapitre 6 Hiver / 39

16 Bascules Bascule D Bascule D Exemple de chronogramme: CLK D Y Q Figure 6 : Chronogramme pour une bascule D Gabriel Cormier (UdeM) GELE2442 Chapitre 6 Hiver / 39

17 Bascules Bascule D Bascule D Équation caractéristique: équation qui permet de calculer la sortie à la prochaine transition de l horloge, en fonction des entrées Pour une bascule D: Q(t + 1) = D Gabriel Cormier (UdeM) GELE2442 Chapitre 6 Hiver / 39

18 Bascules Bascule JK Bascule JK Possède 2 entrées (plus l horloge) Effectue trois opérations: placer la sortie à 0, placer la sortie à 1, ou faire le complément de la sortie actuelle Gabriel Cormier (UdeM) GELE2442 Chapitre 6 Hiver / 39

19 Bascules Bascule JK Bascule JK J K CLK Q Q J K Q(t+1) 0 0 Q(t) Aucun changement Reset Set 1 1 Q (t) Complément Figure 7 : Bascule JK et symbole Q(t + 1) = JQ + K Q Gabriel Cormier (UdeM) GELE2442 Chapitre 6 Hiver / 39

20 Bascules Bascule JK Bascule JK: Design À partir de l équation caractéristique, on peut créer un tableau de design Q(t + 1) = JQ + K Q Q Q J K X X 1 0 X X 0 Figure 8 : Tableau de design d une bascule JK Gabriel Cormier (UdeM) GELE2442 Chapitre 6 Hiver / 39

21 Bascules Bascule T Bascule T T CLK Q Q T Q(t+1) 0 Q(t) Aucun changement 1 Q (t) Complément Figure 9 : Bascule T et symbole Q(t + 1) = T Q = T Q + T Q Gabriel Cormier (UdeM) GELE2442 Chapitre 6 Hiver / 39

22 Bascules Bascule T Bascule T: Design À partir de l équation caractéristique, on peut créer un tableau de design Q(t + 1) = T Q + T Q Q Q T Figure 10 : Tableau de design d une bascule T Gabriel Cormier (UdeM) GELE2442 Chapitre 6 Hiver / 39

23 Analyse de circuits séquentiels Analyse de circuits séquentiels L analyse est un peu différente de l analyse de circuits combinatoires Le comportement du circuit dépend des entrées, des sorties, et de l état des bascules If faut obtenir une table ou un diagramme pour la séquence d entrées, de sorties et d états internes Gabriel Cormier (UdeM) GELE2442 Chapitre 6 Hiver / 39

24 Analyse de circuits séquentiels Équations d état Exemple x D Q A CLK Q A y D Q B CLK CLK Q Gabriel Cormier (UdeM) GELE2442 Chapitre 6 Hiver / 39

25 Analyse de circuits séquentiels Équations d état Équations: Pour le prochain état: A(t + 1) = A(t)x(t) + B(t)x(t) B(t + 1) = A (t)x(t) ou A(t + 1) = Ax + Bx B(t + 1) = A x Pour la sortie: y(t) = (A + B)x Gabriel Cormier (UdeM) GELE2442 Chapitre 6 Hiver / 39

26 Analyse de circuits séquentiels Tableau d état Tableau d état Tableau qui montre toutes les transitions selon la séquence d entrées, de sorties et d états Normalement quatre sections: état présent, entrée, prochain état et sortie Le prochain état est basé sur les équations d état Gabriel Cormier (UdeM) GELE2442 Chapitre 6 Hiver / 39

27 Analyse de circuits séquentiels Tableau d état Exemple: tableau d état Figure 11 : État Prochain Présent Entrée État Sortie A B x A B y Tableau d état pour le circuit de l exemple précédent Gabriel Cormier (UdeM) GELE2442 Chapitre 6 Hiver / 39

28 Analyse de circuits séquentiels Tableau d état Exemple: tableau d état, forme 2 Figure 12 : État Prochain État Sortie Présent x = 0 x = 1 x = 0 x = 1 A B A B A B y y Autre forme du tableau d état pour le circuit de l exemple précédent Gabriel Cormier (UdeM) GELE2442 Chapitre 6 Hiver / 39

29 Analyse de circuits séquentiels Diagramme d état Diagramme d état Autre forme graphique pour le tableau d état L état est présenté comme un cercle, et les transitions (déclenchées par l horloge) entre états sont représentés par des flèches qui se dirigent d un cercle à l autre Gabriel Cormier (UdeM) GELE2442 Chapitre 6 Hiver / 39

30 Analyse de circuits séquentiels Diagramme d état Exemple: diagramme d état 0/0 1/0 0/ /0 0/1 0/1 1/0 1/ Figure 13 : Exemple de diagramme d état pour le circuit de l exemple précédent Gabriel Cormier (UdeM) GELE2442 Chapitre 6 Hiver / 39

31 Analyse de circuits séquentiels Diagramme d état Diagramme d état Les chiffres binaires dans les cercles du diagramme représentent l état actuel La transition est montrée par les flèches, selon l entrée Les deux chiffres à côté de la flèche représentent l entrée et la sortie Exemple: si le circuit est dans l état 00, et que l entrée est 1, la sortie sera 0 (le 1/0) et le prochain état est 01 Gabriel Cormier (UdeM) GELE2442 Chapitre 6 Hiver / 39

32 Analyse de circuits séquentiels Diagramme d état Exemple Créer le diagramme d état pour le circuit séquentiel suivant. x J K CLK Q Q A CLK J K CLK Q Q B Gabriel Cormier (UdeM) GELE2442 Chapitre 6 Hiver / 39

33 Machines Mealy et Moore Machines Mealy et Moore Deux modèles généraux de circuits séquentiels: machines Mealy et Moore Mealy: sortie est fonction de l état actuel et des entrées Moore: sortie est seulement fonction de l état présent Gabriel Cormier (UdeM) GELE2442 Chapitre 6 Hiver / 39

34 Machines Mealy et Moore Machines Mealy et Moore Machine Mealy Entrées Logique du prochain état Mémoire Logique de sortie Sortie CLK Machine Moore Entrées Logique du prochain état Mémoire Logique de sortie Sortie CLK Gabriel Cormier (UdeM) GELE2442 Chapitre 6 Hiver / 39

35 Machines Mealy et Moore Étapes d analyse 1 Déterminer les équations d excitation pour les entrées aux bascules. 2 Substituer les équations d excitation dans les équations caractéristiques des bascules pour obtenir les équations de transition. 3 Utiliser les équations des transition pour construire une table de transition. 4 Déterminer les équations de sortie. 5 Ajouter les valeurs de la sortie à la table de transition pour obtenir la table de transition / d état. 6 Nommer les états et substituer ces noms pour les combinaisons état/variable dans la table de transition / état pour obtenir la table état/sortie. 7 Dessiner le diagramme d état. Gabriel Cormier (UdeM) GELE2442 Chapitre 6 Hiver / 39

36 Machines Mealy et Moore Exemple: Analyser le circuit séquentiel suivant M EN Q 0 D Q CLK Q D Q Q 1 CLK CLK Q Gabriel Cormier (UdeM) GELE2442 Chapitre 6 Hiver / 39

37 Conception de machines d état synchrones Étapes de conception 1 Construire la table état/sortie qui correspond à la description donnée du problème. 2 Minimiser, si possible, le nombre d états. 3 Choisir les variables d état et leur assigner une valeur. 4 Substituer les valeurs des états pour créer la table transition/sortie. 5 Choisir le type de bascule (habituellement D ou JK). 6 Construire la table d excitation. 7 Écrire les équations d excitation des bascules 8 Écrire les équations de sortie. 9 Dessiner le circuit. Gabriel Cormier (UdeM) GELE2442 Chapitre 6 Hiver / 39

38 Conception de machines d état synchrones Conception de machines d état synchrones Deux approches de design: Risque minimum: On suppose que la machine peut aller dans un état non utilisé (à cause d erreur, bris de circuit, etc.). On va donc faire la conception de sorte que tout état non utilisé retourne à l état initial (habituellement ). Coût minimum: On suppose que la machine n ira jamais dans les états non utilisés, et on peut alors utiliser des conditions indifférentes pour simplifier les équations. Pa contre, si la machine entre dans un état non utilisé, le comportement est inconnu. Gabriel Cormier (UdeM) GELE2442 Chapitre 6 Hiver / 39

39 Conception de machines d état synchrones Exemple Faire la conception d une machine d état à 2 entrées, A et B, et une sortie Z qui a la valeur 1 si: 1 A a la même valeur pour chacun des deux cycles précédents 2 B a été 1 depuis la dernière fois que la condition précédente est vrai Sinon, la sortie est nulle. Gabriel Cormier (UdeM) GELE2442 Chapitre 6 Hiver / 39

IFT1215 Introduction aux systèmes informatiques

IFT1215 Introduction aux systèmes informatiques Introduction aux circuits logiques de base IFT25 Architecture en couches Niveau 5 Niveau 4 Niveau 3 Niveau 2 Niveau Niveau Couche des langages d application Traduction (compilateur) Couche du langage d

Plus en détail

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE

FONCTION COMPTAGE BINAIRE ET DIVISION DE FRÉQUENCE I/ GÉNÉRALITÉS I.1/ Fonction Un compteur binaire est utilisé : -pour compter un certain nombre d'évènements binaires -pour diviser la fréquence d'un signal logique par 2 m Page 1 FONCTION COMPTAGE BINAIRE

Plus en détail

VIII- Circuits séquentiels. Mémoires

VIII- Circuits séquentiels. Mémoires 1 VIII- Circuits séquentiels. Mémoires Maintenant le temps va intervenir. Nous avions déjà indiqué que la traversée d une porte ne se faisait pas instantanément et qu il fallait en tenir compte, notamment

Plus en détail

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits

Architecture des ordinateurs TD1 - Portes logiques et premiers circuits Architecture des ordinateurs TD1 - Portes logiques et premiers circuits 1 Rappel : un peu de logique Exercice 1.1 Remplir la table de vérité suivante : a b a + b ab a + b ab a b 0 0 0 1 1 0 1 1 Exercice

Plus en détail

Logique binaire. Aujourd'hui, l'algèbre de Boole trouve de nombreuses applications en informatique et dans la conception des circuits électroniques.

Logique binaire. Aujourd'hui, l'algèbre de Boole trouve de nombreuses applications en informatique et dans la conception des circuits électroniques. Logique binaire I. L'algèbre de Boole L'algèbre de Boole est la partie des mathématiques, de la logique et de l'électronique qui s'intéresse aux opérations et aux fonctions sur les variables logiques.

Plus en détail

Logique séquentielle

Logique séquentielle Bascules et logique séquentielle aniel Etiemble de@lri.fr Logique séquentielle Logique séquentielle Le système a des «états» ans un système séquentiel Éléments de mémorisation Les sorties dépendent des

Plus en détail

Système binaire. Algèbre booléenne

Système binaire. Algèbre booléenne Algèbre booléenne Système binaire Système digital qui emploie des signaux à deux valeurs uniques En général, les digits employés sont 0 et 1, qu'on appelle bits (binary digits) Avantages: on peut utiliser

Plus en détail

GPA770 Microélectronique appliquée Exercices série A

GPA770 Microélectronique appliquée Exercices série A GPA770 Microélectronique appliquée Exercices série A 1. Effectuez les calculs suivants sur des nombres binaires en complément à avec une représentation de 8 bits. Est-ce qu il y a débordement en complément

Plus en détail

GELE5222 Chapitre 9 : Antennes microruban

GELE5222 Chapitre 9 : Antennes microruban GELE5222 Chapitre 9 : Antennes microruban Gabriel Cormier, Ph.D., ing. Université de Moncton Hiver 2012 Gabriel Cormier (UdeM) GELE5222 Chapitre 9 Hiver 2012 1 / 51 Introduction Gabriel Cormier (UdeM)

Plus en détail

3. SPÉCIFICATIONS DU LOGICIEL. de l'expression des besoins à la conception. Spécifications fonctionnelles Analyse fonctionnelle et méthodes

3. SPÉCIFICATIONS DU LOGICIEL. de l'expression des besoins à la conception. Spécifications fonctionnelles Analyse fonctionnelle et méthodes PLAN CYCLE DE VIE D'UN LOGICIEL EXPRESSION DES BESOINS SPÉCIFICATIONS DU LOGICIEL CONCEPTION DU LOGICIEL LA PROGRAMMATION TESTS ET MISE AU POINT DOCUMENTATION CONCLUSION C.Crochepeyre Génie Logiciel Diapason

Plus en détail

QUESTION 1 {2 points}

QUESTION 1 {2 points} ELE4301 Systèmes logiques II Page 1 de 8 QUESTION 1 {2 points} En se servant de paramètres électriques donnés dans le Tableau 1 ci-dessous, on désire déterminer la fréquence d opération du compteur présenté

Plus en détail

Circuits RL et RC. Chapitre 5. 5.1 Inductance

Circuits RL et RC. Chapitre 5. 5.1 Inductance Chapitre 5 Circuits RL et RC Ce chapitre présente les deux autres éléments linéaires des circuits électriques : l inductance et la capacitance. On verra le comportement de ces deux éléments, et ensuite

Plus en détail

ELP 304 : Électronique Numérique. Cours 1 Introduction

ELP 304 : Électronique Numérique. Cours 1 Introduction ELP 304 : Électronique Numérique Cours 1 Introduction Catherine Douillard Dépt Électronique Les systèmes numériques : généralités (I) En électronique numérique, le codage des informations utilise deux

Plus en détail

INITIATION AU LANGAGE C SUR PIC DE MICROSHIP

INITIATION AU LANGAGE C SUR PIC DE MICROSHIP COURS PROGRAMMATION INITIATION AU LANGAGE C SUR MICROCONTROLEUR PIC page 1 / 7 INITIATION AU LANGAGE C SUR PIC DE MICROSHIP I. Historique du langage C 1972 : naissance du C dans les laboratoires BELL par

Plus en détail

Manipulations du laboratoire

Manipulations du laboratoire Manipulations du laboratoire 1 Matériel Les manipulations de ce laboratoire sont réalisées sur une carte électronique comprenant un compteur 4-bit asynchrone (74LS93) avec possibilité de déclenchement

Plus en détail

UML Diagramme de communication (communication diagram) Emmanuel Pichon 2013

UML Diagramme de communication (communication diagram) Emmanuel Pichon 2013 UML Diagramme de communication (communication diagram) 2013 Diagramme de communication (communication diagram) Utilisation / objectifs Sens Ce diagramme présente des objets, des acteurs, des liens et des

Plus en détail

Chapitre 7. Circuits Magnétiques et Inductance. 7.1 Introduction. 7.1.1 Production d un champ magnétique

Chapitre 7. Circuits Magnétiques et Inductance. 7.1 Introduction. 7.1.1 Production d un champ magnétique Chapitre 7 Circuits Magnétiques et Inductance 7.1 Introduction 7.1.1 Production d un champ magnétique Si on considère un conducteur cylindrique droit dans lequel circule un courant I (figure 7.1). Ce courant

Plus en détail

Algèbre binaire et Circuits logiques (2007-2008)

Algèbre binaire et Circuits logiques (2007-2008) Université Mohammed V Faculté des Sciences Département de Mathématiques et Informatique Filière : SMI Algèbre binaire et Circuits logiques (27-28) Prof. Abdelhakim El Imrani Plan. Algèbre de Boole 2. Circuits

Plus en détail

Cours 1 : Introduction Ordinateurs - Langages de haut niveau - Application

Cours 1 : Introduction Ordinateurs - Langages de haut niveau - Application Université de Provence Licence Math-Info Première Année V. Phan Luong Algorithmique et Programmation en Python Cours 1 : Introduction Ordinateurs - Langages de haut niveau - Application 1 Ordinateur Un

Plus en détail

I- Définitions des signaux.

I- Définitions des signaux. 101011011100 010110101010 101110101101 100101010101 Du compact-disc, au DVD, en passant par l appareil photo numérique, le scanner, et télévision numérique, le numérique a fait une entrée progressive mais

Plus en détail

6. Hachage. Accès aux données d'une table avec un temps constant Utilisation d'une fonction pour le calcul d'adresses

6. Hachage. Accès aux données d'une table avec un temps constant Utilisation d'une fonction pour le calcul d'adresses 6. Hachage Accès aux données d'une table avec un temps constant Utilisation d'une fonction pour le calcul d'adresses PLAN Définition Fonctions de Hachage Méthodes de résolution de collisions Estimation

Plus en détail

2.4 Représentation graphique, tableau de Karnaugh

2.4 Représentation graphique, tableau de Karnaugh 2 Fonctions binaires 45 2.4 Représentation graphique, tableau de Karnaugh On peut définir complètement une fonction binaire en dressant son tableau de Karnaugh, table de vérité à 2 n cases pour n variables

Plus en détail

ISC21-1 --- Système d Information Architecture et Administration d un SGBD Compléments SQL

ISC21-1 --- Système d Information Architecture et Administration d un SGBD Compléments SQL ISC21-1 --- Système d Information Architecture et Administration d un SGBD Compléments SQL Jean-Marie Pécatte jean-marie.pecatte@iut-tlse3.fr 16 novembre 2006 ISIS - Jean-Marie PECATTE 1 Valeur de clé

Plus en détail

Organisation des Ordinateurs

Organisation des Ordinateurs Organisation des Ordinateurs Bernard Boigelot E-mail : boigelot@montefiore.ulg.ac.be URL : http://www.montefiore.ulg.ac.be/~boigelot/ http://www.montefiore.ulg.ac.be/~boigelot/cours/org/ 1 Chapitre 1 Les

Plus en détail

INF 232: Langages et Automates. Travaux Dirigés. Université Joseph Fourier, Université Grenoble 1 Licence Sciences et Technologies

INF 232: Langages et Automates. Travaux Dirigés. Université Joseph Fourier, Université Grenoble 1 Licence Sciences et Technologies INF 232: Langages et Automates Travaux Dirigés Université Joseph Fourier, Université Grenoble 1 Licence Sciences et Technologies Année Académique 2013-2014 Année Académique 2013-2014 UNIVERSITÉ JOSEPH

Plus en détail

L exclusion mutuelle distribuée

L exclusion mutuelle distribuée L exclusion mutuelle distribuée L algorithme de L Amport L algorithme est basé sur 2 concepts : L estampillage des messages La distribution d une file d attente sur l ensemble des sites du système distribué

Plus en détail

IV- Comment fonctionne un ordinateur?

IV- Comment fonctionne un ordinateur? 1 IV- Comment fonctionne un ordinateur? L ordinateur est une alliance du hardware (le matériel) et du software (les logiciels). Jusqu à présent, nous avons surtout vu l aspect «matériel», avec les interactions

Plus en détail

Recueil d'exercices de logique séquentielle

Recueil d'exercices de logique séquentielle Recueil d'exercices de logique séquenielle Les bascules: / : Bascule JK Bascule D. Expliquez commen on peu modifier une bascule JK pour obenir une bascule D. 2/ Eude d un circui D Q Q Sorie A l aide d

Plus en détail

Les diagrammes de modélisation

Les diagrammes de modélisation L approche Orientée Objet et UML 1 Plan du cours Introduction au Génie Logiciel L approche Orientée Objet et Notation UML Les diagrammes de modélisation Relations entre les différents diagrammes De l analyse

Plus en détail

Ordinateurs, Structure et Applications

Ordinateurs, Structure et Applications Ordinateurs, Structure et Applications Cours 10, Les interruptions Etienne Tremblay Université Laval, Hiver 2012 Cours 10, p.1 Les interruptions du 8086 Une interruption interrompt l exécution séquentielle

Plus en détail

Erreur statique. Chapitre 6. 6.1 Définition

Erreur statique. Chapitre 6. 6.1 Définition Chapitre 6 Erreur statique On considère ici le troisième paramètre de design, soit l erreur statique. L erreur statique est la différence entre l entrée et la sortie d un système lorsque t pour une entrée

Plus en détail

6 - Le système de gestion de fichiers F. Boyer, UJF-Laboratoire Lig, Fabienne.Boyer@imag.fr

6 - Le système de gestion de fichiers F. Boyer, UJF-Laboratoire Lig, Fabienne.Boyer@imag.fr 6 - Le système de gestion de fichiers F. Boyer, UJF-Laboratoire Lig, Fabienne.Boyer@imag.fr Interface d un SGF Implémentation d un SGF Gestion de la correspondance entre la structure logique et la structure

Plus en détail

Architecture des ordinateurs

Architecture des ordinateurs Architecture des ordinateurs Cours 4 5 novembre 2012 Archi 1/22 Micro-architecture Archi 2/22 Intro Comment assembler les différents circuits vus dans les cours précédents pour fabriquer un processeur?

Plus en détail

Carte Relais GSM (Manuel Utilisateur)

Carte Relais GSM (Manuel Utilisateur) Carte Relais GSM (Manuel Utilisateur) Carte Relais GSM Introduction Cette carte est une véritable centrale de télécommande et d alarme par GSM. Elle se connecte par un port série à un modem GSM compatible

Plus en détail

SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION. Contenu du dossier :

SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION. Contenu du dossier : SYSTEME DE PALPAGE A TRANSMISSION RADIO ETUDE DU RECEPTEUR (MI16) DOSSIER DE PRESENTATION Contenu du dossier : 1. PRESENTATION DU SYSTEME DE PALPAGE A TRANSMISSION RADIO....1 1.1. DESCRIPTION DU FABRICANT....1

Plus en détail

Expression des contraintes. OCL : Object C o n t r a i n t L a n g u a g e

Expression des contraintes. OCL : Object C o n t r a i n t L a n g u a g e P r o b l é m a t i q u e OCL : O b j e c t C o n s t r a i n t L a n g u a g e Le langage de contraintes d UML Les différents diagrammes d UML permettent d exprimer certaines contraintes graphiquement

Plus en détail

Easy to. report. Connexion. Transformation. Stockage. Construction. Exploitation. Diffusion

Easy to. report. Connexion. Transformation. Stockage. Construction. Exploitation. Diffusion M y R e p o r t, L A S O L U T I O N R E P O R T I N G D E S U T I L I S AT E U R S E X C E L Connexion Transformation Stockage Construction Exploitation Diffusion OBJECTIF REPORTING : De la manipulation

Plus en détail

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview.

ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. ET 24 : Modèle de comportement d un système Boucles de programmation avec Labview. Sciences et Technologies de l Industrie et du Développement Durable Formation des enseignants parcours : ET24 Modèle de

Plus en détail

Capacité d un canal Second Théorème de Shannon. Théorie de l information 1/34

Capacité d un canal Second Théorème de Shannon. Théorie de l information 1/34 Capacité d un canal Second Théorème de Shannon Théorie de l information 1/34 Plan du cours 1. Canaux discrets sans mémoire, exemples ; 2. Capacité ; 3. Canaux symétriques ; 4. Codage de canal ; 5. Second

Plus en détail

ISO/CEI 11172-3 NORME INTERNATIONALE

ISO/CEI 11172-3 NORME INTERNATIONALE NORME INTERNATIONALE ISO/CEI 11172-3 Première édition 1993-08-01 Technologies de l information - Codage de l image animée et du son associé pour les supports de stockage numérique jusqu à environ Ii5 Mbit/s

Plus en détail

Les liaisons SPI et I2C

Les liaisons SPI et I2C DAMÉCOURT BENJAMIN AVRIL 28 Liaisons synchrones Les liaisons SPI et I2C Face arrière d un imac : trois ports USB, un port Firewire 4 et un port Firewire 8 CHRONOLOGIE ANNÉES 7 La liaison SPI et la création

Plus en détail

TS 35 Numériser. Activité introductive - Exercice et démarche expérimentale en fin d activité Notions et contenus du programme de Terminale S

TS 35 Numériser. Activité introductive - Exercice et démarche expérimentale en fin d activité Notions et contenus du programme de Terminale S FICHE Fiche à destination des enseignants TS 35 Numériser Type d'activité Activité introductive - Exercice et démarche expérimentale en fin d activité Notions et contenus du programme de Terminale S Compétences

Plus en détail

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd

UE 503 L3 MIAGE. Initiation Réseau et Programmation Web La couche physique. A. Belaïd UE 503 L3 MIAGE Initiation Réseau et Programmation Web La couche physique A. Belaïd abelaid@loria.fr http://www.loria.fr/~abelaid/ Année Universitaire 2011/2012 2 Le Modèle OSI La couche physique ou le

Plus en détail

Chapitre 2 Le problème de l unicité des solutions

Chapitre 2 Le problème de l unicité des solutions Université Joseph Fourier UE MAT 127 Mathématiques année 2011-2012 Chapitre 2 Le problème de l unicité des solutions Ce que nous verrons dans ce chapitre : un exemple d équation différentielle y = f(y)

Plus en détail

La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA)

La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA) La conversion de données : Convertisseur Analogique Numérique (CAN) Convertisseur Numérique Analogique (CNA) I. L'intérêt de la conversion de données, problèmes et définitions associés. I.1. Définitions:

Plus en détail

Fonctions de la couche physique

Fonctions de la couche physique La Couche physique 01010110 01010110 Couche physique Signal Médium Alain AUBERT alain.aubert@telecom-st-etienne.r 0 Fonctions de la couche physique 1 1 Services assurés par la couche physique Transmettre

Plus en détail

RESUME DE COURS ET CAHIER D'EXERCICES

RESUME DE COURS ET CAHIER D'EXERCICES ARCITECTURE INFO-UP REUME DE COUR ET CAIER D'EXERCICE EPITA F. GABON Architecture EPITA INFO-UP F. Gabon COUR LIVRE D ARCITECTURE 3 REUME D'ELECTRONIUE LOGIUE 4 YTEME DE NUMERATION 6 ALGEBRE DE BOOLE 6

Plus en détail

Animation pédagogique sur l oscilloscope à mémoire Hameg HM 507

Animation pédagogique sur l oscilloscope à mémoire Hameg HM 507 Animation pédagogique sur l oscilloscope à mémoire Hameg HM 507 Les réglages matériels généraux de l oscilloscope Hameg HM 507 Ce sont les réglages qui sont actifs aussi bien en mode Analogique (oscilloscope

Plus en détail

1.1 Codage de source et test d hypothèse

1.1 Codage de source et test d hypothèse Théorie de l information et codage 200/20 Cours 8février20 Enseignant: Marc Lelarge Scribe: Marc Lelarge Pour information Page webdu cours http://www.di.ens.fr/~lelarge/info.html Notations Pour des variables

Plus en détail

SugarCubes. Jean-Ferdinand Susini Maître de Conférences, CNAM Chaire systèmes enfouis et embarqués. Paris, le 9 janvier, 2009

SugarCubes. Jean-Ferdinand Susini Maître de Conférences, CNAM Chaire systèmes enfouis et embarqués. Paris, le 9 janvier, 2009 SugarCubes Jean-Ferdinand Susini Maître de Conférences, CNAM Chaire systèmes enfouis et embarqués Paris, le 9 janvier, 2009 Plan 2 Les SugarCubes au dessus de J2ME Quelques résultats expérimentaux Les

Plus en détail

Cours de Génie Logiciel

Cours de Génie Logiciel Cours de Génie Logiciel Sciences-U Lyon Diagrammes UML (2) http://www.rzo.free.fr Pierre PARREND 1 Avril 2005 Sommaire Les Diagrammes UML Diagrammes de Collaboration Diagrammes d'etats-transitions Diagrammes

Plus en détail

Souad EL Bernoussi. Groupe d Analyse Numérique et Optimisation Rabat http ://www.fsr.ac.ma/ano/

Souad EL Bernoussi. Groupe d Analyse Numérique et Optimisation Rabat http ://www.fsr.ac.ma/ano/ Recherche opérationnelle Les démonstrations et les exemples seront traités en cours Souad EL Bernoussi Groupe d Analyse Numérique et Optimisation Rabat http ://www.fsr.ac.ma/ano/ Table des matières 1 Programmation

Plus en détail

ASR1 TD7 : Un microprocesseur RISC 16 bits

ASR1 TD7 : Un microprocesseur RISC 16 bits {Â Ö Ñ º ØÖ Ý,È ØÖ ºÄÓ Ù,Æ ÓÐ ºÎ ÝÖ Ø¹ ÖÚ ÐÐÓÒ} Ò ¹ÐÝÓÒº Ö ØØÔ»»Ô Ö Óº Ò ¹ÐÝÓÒº Ö» Ö Ñ º ØÖ Ý»¼ Ö½» ASR1 TD7 : Un microprocesseur RISC 16 bits 13, 20 et 27 novembre 2006 Présentation générale On choisit

Plus en détail

Les opérations binaires

Les opérations binaires Les opérations binaires Compétences associées A2 : Analyser et interpréter une information numérique Objectifs Etre capable: - De coder les nombres entiers en code complément à 2. - De résoudre les opérations

Plus en détail

LIVRE BLANC Pratiques recommandées pour l utilisation de Diskeeper sur les réseaux SAN (Storage Area Networks)

LIVRE BLANC Pratiques recommandées pour l utilisation de Diskeeper sur les réseaux SAN (Storage Area Networks) LIVRE BLANC Pratiques recommandées pour l utilisation de Diskeeper sur les réseaux SAN (Storage Area Networks) Think Faster. [Pensez plus vite] Visitez Condusiv.com RECOMMANDATIONS D UTILISATION DE DISKEEPER

Plus en détail

Millenium3 Atelier de programmation

Millenium3 Atelier de programmation Millenium3 Millenium 3 Millenium3 1. Aide en ligne CLSM3... 2 1.1 Présentation de l'atelier de programmation... 2 1.1.1 Présentation de l'atelier de programmation... 2 1.2 Comment débuter avec l'atelier

Plus en détail

Réplication des données

Réplication des données Réplication des données Christelle Pierkot FMIN 306 : Gestion de données distribuées Année 2009-2010 Echange d information distribuée Grâce à un serveur central Une seule copie cohérente Accès à distance

Plus en détail

Windows Server 2012 R2 Failover de serveurs DHCP

Windows Server 2012 R2 Failover de serveurs DHCP Windows Server 2012 R2 Failover de serveurs DHCP Redondance de DHCP 15 MARS 2015 FOURNIER VINCENT 2BTS SIO I. Présentation La fonctionnalité de failover DHCP est nouvelle depuis Windows Server 2012, elle

Plus en détail

T500 DUAlTACH. JAQUET T500 DualTach Instrument de mesure et de surveillance équipé de 2 entrées fréquence TACHYMETRE 2 CANAUX

T500 DUAlTACH. JAQUET T500 DualTach Instrument de mesure et de surveillance équipé de 2 entrées fréquence TACHYMETRE 2 CANAUX 02-09 T500 DUAlTACH JAQUET T500 DualTach Instrument de mesure et de surveillance équipé de 2 entrées fréquence JAQUET T500 DualTach Instrument multi canal de mesure et de surveillance pour applications

Plus en détail

Chapitre 13 Numérisation de l information

Chapitre 13 Numérisation de l information DERNIÈRE IMPRESSION LE 2 septembre 2013 à 17:33 Chapitre 13 Numérisation de l information Table des matières 1 Transmission des informations 2 2 La numérisation 2 2.1 L échantillonage..............................

Plus en détail

TD Architecture des ordinateurs. Jean-Luc Dekeyser

TD Architecture des ordinateurs. Jean-Luc Dekeyser TD Architecture des ordinateurs Jean-Luc Dekeyser Fiche 1 Nombres de l informatique Exercice 1 Une entreprise désire réaliser la sauvegarde de ses données sur un site distant. Le volume de données à sauvegarder

Plus en détail

Acquisition et conditionnement de l information Les capteurs

Acquisition et conditionnement de l information Les capteurs Acquisition et conditionnement de l information Les capteurs COURS 1. Exemple d une chaîne d acquisition d une information L'acquisition de la grandeur physique est réalisée par un capteur qui traduit

Plus en détail

Circuit comportant plusieurs boucles

Circuit comportant plusieurs boucles Sommaire de la séquence 3 Séance 1 Qu est-ce qu un circuit comportant des dérivations? A Les acquis du primaire B Activités expérimentales C Exercices d application Séance 2 Court-circuit dans un circuit

Plus en détail

Transmission d informations sur le réseau électrique

Transmission d informations sur le réseau électrique Transmission d informations sur le réseau électrique Introduction Remarques Toutes les questions en italique devront être préparées par écrit avant la séance du TP. Les préparations seront ramassées en

Plus en détail

FICHE UE Licence/Master Sciences, Technologies, Santé Mention Informatique

FICHE UE Licence/Master Sciences, Technologies, Santé Mention Informatique NOM DE L'UE : Algorithmique et programmation C++ LICENCE INFORMATIQUE Non Alt Alt S1 S2 S3 S4 S5 S6 Parcours : IL (Ingénierie Logicielle) SRI (Systèmes et Réseaux Informatiques) MASTER INFORMATIQUE Non

Plus en détail

Cours de Programmation en Langage Synchrone SIGNAL. Bernard HOUSSAIS IRISA. Équipe ESPRESSO

Cours de Programmation en Langage Synchrone SIGNAL. Bernard HOUSSAIS IRISA. Équipe ESPRESSO Cours de Programmation en Langage Synchrone SIGNAL Bernard HOUSSAIS IRISA. Équipe ESPRESSO 24 septembre 2004 TABLE DES MATIÈRES 3 Table des matières 1 Introduction 5 1.1 La Programmation Temps Réel.........................

Plus en détail

Les fonctions logiques

Les fonctions logiques 1 Les fonctions logiques Le fonctionnement des ordinateurs tout comme d autres appareils électroniques repose sur l emploi des circuits électroniques de logique binaire ou électronique numérique. Dans

Plus en détail

Introduction à NetCDF

Introduction à NetCDF Introduction à NetCDF École normale supérieure L3 géosciences 2014/2015 Lionel GUEZ guez@lmd.ens.fr Laboratoire de météorologie dynamique Explications préliminaires Deux distinctions générales sur les

Plus en détail

Introduction à l informatique temps réel Pierre-Yves Duval (cppm)

Introduction à l informatique temps réel Pierre-Yves Duval (cppm) Introduction à l informatique temps réel Pierre-Yves Duval (cppm) Ecole d informatique temps réel - La Londes les Maures 7-11 Octobre 2002 -Définition et problématique - Illustration par des exemples -Automatisme:

Plus en détail

Introduction. René J. Chevance

Introduction. René J. Chevance et restauration des données : Introduction Février 2002 René J. Chevance Introduction Présentation de différentes politiques de sauvegarde Plusieurs types de granularité en fonction de la fonctionnalité

Plus en détail

Cours Premier semestre

Cours Premier semestre C.Belleudy, D.Gaffé Université de Nice-Sophia Antipolis DEUG Première année SM,MP,MI UECS EEA Électronique Numérique Cours Premier semestre C. Belleudy, D.Gaffé version 3. 2 Électronique Numérique Chapitre

Plus en détail

Jean-Philippe Préaux http://www.i2m.univ-amu.fr/~preaux

Jean-Philippe Préaux http://www.i2m.univ-amu.fr/~preaux Colonies de fourmis Comment procèdent les colonies de fourmi pour déterminer un chemin presque géodésique de la fourmilière à un stock de nourriture? Les premières fourmis se déplacent au hasard. Les fourmis

Plus en détail

- Instrumentation numérique -

- Instrumentation numérique - - Instrumentation numérique - I.Présentation du signal numérique. I.1. Définition des différents types de signaux. Signal analogique: Un signal analogique a son amplitude qui varie de façon continue au

Plus en détail

Projet # 3 Serrure à deux clés

Projet # 3 Serrure à deux clés Département d électronique industrielle Projet # 3 Serrure à deux clés Semaines 8 et 9, 10 Session 1 Circuits logiques 243-206-RA Automne 2010 Tables des matières 1 OBJECTIFS DE L ACTIVITÉ... 3 1.1 COMPÉTENCES

Plus en détail

0 20mV; 0 40mV; 0 80mV; 0 160mV; 0 320mV; 0 640mV; 0 1,28V; 0 2,56V 0 5V; 0 10V

0 20mV; 0 40mV; 0 80mV; 0 160mV; 0 320mV; 0 640mV; 0 1,28V; 0 2,56V 0 5V; 0 10V ITM carte d entrèes analogues INTERFACES DE PROCES La carte ITM a 8 isolées entrées analogiques, chaque canal a un 16 bit A/N convertisseurs, avec une haute stabilité et une très haute rapport de réjection

Plus en détail

Initiation à LabView : Les exemples d applications :

Initiation à LabView : Les exemples d applications : Initiation à LabView : Les exemples d applications : c) Type de variables : Créer un programme : Exemple 1 : Calcul de c= 2(a+b)(a-3b) ou a, b et c seront des réels. «Exemple1» nom du programme : «Exemple

Plus en détail

TP - Alarme de voiture / Approche fonctionnelle

TP - Alarme de voiture / Approche fonctionnelle TP - Alarme de voiture / Approche fonctionnelle Tous les objets techniques, même les plus compliqués, sont étudiés à l aide d une méthode appelée : étude fonctionnelle ou systémique. 1/ Présentation du

Plus en détail

Annexe 6. Notions d ordonnancement.

Annexe 6. Notions d ordonnancement. Annexe 6. Notions d ordonnancement. APP3 Optimisation Combinatoire: problèmes sur-contraints et ordonnancement. Mines-Nantes, option GIPAD, 2011-2012. Sophie.Demassey@mines-nantes.fr Résumé Ce document

Plus en détail

Voyez clair dans vos finances avec AccèsD Affaires

Voyez clair dans vos finances avec AccèsD Affaires h00 Voyez clair dans vos finances avec Description de la fonctionnalité Le relevé des opérations : vos transactions en temps réel Le détail de vos transactions peut être produit pour les 62 derniers jours.

Plus en détail

Université de La Rochelle. Réseaux TD n 6

Université de La Rochelle. Réseaux TD n 6 Réseaux TD n 6 Rappels : Théorème de Nyquist (ligne non bruitée) : Dmax = 2H log 2 V Théorème de Shannon (ligne bruitée) : C = H log 2 (1+ S/B) Relation entre débit binaire et rapidité de modulation :

Plus en détail

INTRODUCTION A L ELECTRONIQUE NUMERIQUE ECHANTILLONNAGE ET QUANTIFICATION I. ARCHITECTURE DE L ELECRONIQUE NUMERIQUE

INTRODUCTION A L ELECTRONIQUE NUMERIQUE ECHANTILLONNAGE ET QUANTIFICATION I. ARCHITECTURE DE L ELECRONIQUE NUMERIQUE INTRODUCTION A L ELECTRONIQUE NUMERIQUE ECHANTILLONNAGE ET QUANTIFICATION I. ARCHITECTURE DE L ELECRONIQUE NUMERIQUE Le schéma synoptique ci-dessous décrit les différentes étapes du traitement numérique

Plus en détail

OTIS. Mod. La modernisation réinventée. Plus de sécurité Plus de fiabilité Plus de confort. Et plus d attention à l environnement.

OTIS. Mod. La modernisation réinventée. Plus de sécurité Plus de fiabilité Plus de confort. Et plus d attention à l environnement. OTIS Mod La modernisation réinventée Plus de sécurité Plus de fiabilité Plus de confort Et plus d attention à l environnement. GeN2 Mod, un concept novateur. ➍ CÂBLE TRADITIONNEL EN ACIER ➌ ➋ ➌ ➌ POULIE

Plus en détail

TP Modulation Démodulation BPSK

TP Modulation Démodulation BPSK I- INTRODUCTION : TP Modulation Démodulation BPSK La modulation BPSK est une modulation de phase (Phase Shift Keying = saut discret de phase) par signal numérique binaire (Binary). La phase d une porteuse

Plus en détail

Programmation linéaire

Programmation linéaire 1 Programmation linéaire 1. Le problème, un exemple. 2. Le cas b = 0 3. Théorème de dualité 4. L algorithme du simplexe 5. Problèmes équivalents 6. Complexité de l Algorithme 2 Position du problème Soit

Plus en détail

Cours de Systèmes d Exploitation

Cours de Systèmes d Exploitation Licence d informatique Synchronisation et Communication inter-processus Hafid Bourzoufi Université de Valenciennes - ISTV Introduction Les processus concurrents s exécutant dans le système d exploitation

Plus en détail

Lecteur de carte à puce LCPM1 SOMMAIRE

Lecteur de carte à puce LCPM1 SOMMAIRE SOMMAIRE I Différents types de cartes p2 1.1- Carte magnétique 1.2- Carte II Les cartes s. p3 2.1- Introduction 2.2- Constitution III Les familles de cartes s. p6 3.1- Les cartes à mémoire simple 3.2-

Plus en détail

IN 102 - Cours 1. 1 Informatique, calculateurs. 2 Un premier programme en C

IN 102 - Cours 1. 1 Informatique, calculateurs. 2 Un premier programme en C IN 102 - Cours 1 Qu on le veuille ou non, les systèmes informatisés sont désormais omniprésents. Même si ne vous destinez pas à l informatique, vous avez de très grandes chances d y être confrontés en

Plus en détail

Programmation Linéaire - Cours 1

Programmation Linéaire - Cours 1 Programmation Linéaire - Cours 1 P. Pesneau pierre.pesneau@math.u-bordeaux1.fr Université Bordeaux 1 Bât A33 - Bur 265 Ouvrages de référence V. Chvátal - Linear Programming, W.H.Freeman, New York, 1983.

Plus en détail

Etudier l influence de différents paramètres sur un phénomène physique Communiquer et argumenter en utilisant un vocabulaire scientifique adapté

Etudier l influence de différents paramètres sur un phénomène physique Communiquer et argumenter en utilisant un vocabulaire scientifique adapté Compétences travaillées : Mettre en œuvre un protocole expérimental Etudier l influence de différents paramètres sur un phénomène physique Communiquer et argumenter en utilisant un vocabulaire scientifique

Plus en détail

Chapitre 10. Architectures des systèmes de gestion de bases de données

Chapitre 10. Architectures des systèmes de gestion de bases de données Chapitre 10 Architectures des systèmes de gestion de bases de données Introduction Les technologies des dernières années ont amené la notion d environnement distribué (dispersions des données). Pour reliér

Plus en détail

FAMILLE EMC RECOVERPOINT

FAMILLE EMC RECOVERPOINT FAMILLE EMC RECOVERPOINT Solution économique de protection des données et de reprise après sinistre en local et à distance Avantages clés Optimiser la protection des données et la reprise après sinistre

Plus en détail

MABioVis. Bio-informatique et la

MABioVis. Bio-informatique et la MABioVis Modèles et Algorithmes pour la Bio-informatique et la Visualisation Visite ENS Cachan 5 janvier 2011 MABioVis G GUY MELANÇON (PR UFR Maths Info / EPI GRAVITE) (là, maintenant) - MABioVis DAVID

Plus en détail

Conception de circuits numériques et architecture des ordinateurs

Conception de circuits numériques et architecture des ordinateurs Conception de circuits numériques et architecture des ordinateurs Frédéric Pétrot Année universitaire 2014-2015 Structure du cours C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 Codage des nombres en base 2, logique

Plus en détail

Chapitre V : La gestion de la mémoire. Hiérarchie de mémoires Objectifs Méthodes d'allocation Simulation de mémoire virtuelle Le mapping

Chapitre V : La gestion de la mémoire. Hiérarchie de mémoires Objectifs Méthodes d'allocation Simulation de mémoire virtuelle Le mapping Chapitre V : La gestion de la mémoire Hiérarchie de mémoires Objectifs Méthodes d'allocation Simulation de mémoire virtuelle Le mapping Introduction Plusieurs dizaines de processus doivent se partager

Plus en détail

MICROCONTROLEURS PIC PROGRAMMATION EN C. V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44

MICROCONTROLEURS PIC PROGRAMMATION EN C. V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44 MICROCONTROLEURS PIC PROGRAMMATION EN C V. Chollet - cours-pic-13b - 09/12/2012 Page 1 sur 44 Chapitre 1 GENERALITES 1 DEFINITION Un microcontrôleur est un microprocesseur RISC (Reduced Instruction Set

Plus en détail

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE

ARDUINO DOSSIER RESSOURCE POUR LA CLASSE ARDUINO DOSSIER RESSOURCE POUR LA CLASSE Sommaire 1. Présentation 2. Exemple d apprentissage 3. Lexique de termes anglais 4. Reconnaître les composants 5. Rendre Arduino autonome 6. Les signaux d entrée

Plus en détail

Manuel d utilisation. Notes. Page 9-10

Manuel d utilisation. Notes. Page 9-10 Manuel d utilisation Notes Page 9-10 L enregistrement de futures émissions Astuces 9 ASTUCES Il est très important de laisser le récepteur activé pour qu il reçoive les mises à jour. De cette façon, le

Plus en détail

Unitt www.unitt.com. Zero Data Loss Service (ZDLS) La meilleure arme contre la perte de données

Unitt www.unitt.com. Zero Data Loss Service (ZDLS) La meilleure arme contre la perte de données Zero Data Loss Service (ZDLS) La meilleure arme contre la perte de données La meilleure protection pour les données vitales de votre entreprise Autrefois, protéger ses données de manière optimale coûtait

Plus en détail

Chapitre 4 : Les mémoires

Chapitre 4 : Les mémoires 1. Introduction: Chapitre 4 : Les mémoires Nous savons que dans un ordinateur toutes les informations : valeur numérique, instruction, adresse, symbole (chiffre, lettre,... etc.) sont manipulées sous une

Plus en détail