Etude et intégration de procédés auto-positionnés CuSiN de traitement de la surface des lignes de cuivre et évaluation de leurs effets sur les

Dimension: px
Commencer à balayer dès la page:

Download "Etude et intégration de procédés auto-positionnés CuSiN de traitement de la surface des lignes de cuivre et évaluation de leurs effets sur les"

Transcription

1 Etude et intégration de procédés auto-positionnés CuSiN de traitement de la surface des lignes de cuivre et évaluation de leurs effets sur les performances électriques et de fiabilité des interconnexions cuivre 23

2

3 Chapitre 2 Mécanisme de formation du CuSiN Sommaire 2.1 Etude préliminaire Principe du procédé CuSiN Détermination des conditions des procédés CuSiN Critères requis pour l étape de siliciuration Conditions des procédés CuSiN Détermination d un procédé CuSiN type Mécanisme de formation du CuSiN Choix d un précurseur pour la siliciuration Intérêt de l étape de nitruration Propriétés barrières Stabilisation du silicium Etude des propriétés de siliciuration Profondeur d incorporation des atomes de silicium Détermination du taux de siliciuration Proposition d un mécanisme de formation Etude préliminaire Principe du procédé CuSiN Nous avons précédemment montré que l interface entre un métal et un diélectrique est le chemin préférentiel où s effectue l électromigration du cuivre qui limite la durée de vie des interconnexions. Il s agit donc de modifier cette interface pour améliorer la fiabilité des interconnexions. La barrière métallique TaN/Ta en est une parfaite illustration. En effet, le TaN joue principalement le rôle de barrière [Hol92] alors que le Ta, qui présente une bonne 25

4 CHAPITRE 2. MÉCANISME DE FORMATION DU CUSIN adhésion avec le cuivre grâce à la présence d une zone mixte Cu-Ta [Hei03], permet de supprimer un chemin potentiel de migration des atomes de cuivre sous une flux d électrons. Basé sur ce concept, il s agit d ajouter un dépôt localisé d une couche de silicium sur le cuivre avant le dépôt PECVD d une barrière diélectrique afin d obtenir comme empilement Cu/Si/Si(C)N. Néanmoins, le silicium réagit avec le cuivre pour former un composé de type Cu 3 Si à la surface du cuivre dès 200 C [Sto91], température largement dépassée durant les différentes étapes d intégration. De ce fait, il ne peut pas y avoir d interface Cu/Si mais un gradient entre le cuivre et un composé de type CuSi : Cu/CuSi/Si(C)N. Enfin, comme les barrières diélectriques SiN ou SiCN sont également composées d azote, il est possible d incorporer de l azote dans la couche de CuSi pour améliorer davantage l adhésion à l interface CuSi/Si(C)N : Cu/CuSiN/Si(C)N. (a) Après CMP. (b) Après plasma réducteur. (c) Après siliciuration. (d) Après nitruration. Figure 2.1 : Schéma de principe du procédé CuSiN. Nous nous proposons d étudier la formation d une couche de CuSiN auto-positionnée sur le cuivre par différents procédés CuSiN (pcusin) dont les objectifs sont : soit d améliorer l adhésion à l interface entre le cuivre et la barrière diélectrique; soit de remplacer la barrière diélectrique pour diminuer la permittivité effective des interconnexions si le CuSiN s avère être une barrière efficace contre la diffusion du cuivre. Les procédés CuSiN, qui peuvent être implémentés dans un équipement PECVD de dépôt de barrières diélectriques, se décomposent en deux étapes majeures. Après l étape de CMP (Figure 2.1.a) et le retrait de l oxyde natif de cuivre potentiellement présent à la surface du cuivre par un plasma réducteur (à base d ammoniac (NH 3 ) ou d hydrogène (H 2 ) [Guo97, Nog01, Ngw04]) (Figure 2.1.b), la première étape du procédé, appelée siliciuration, consiste à incorporer des atomes de silicium dans le cuivre de façon contrôlée et limitée pour former une couche de CuSi localisée à la surface du cuivre (Figure 2.1.c). Ce silicium provient de précurseurs comme le silane (SiH 4 ) ou le Tri-Methyl-Silane (TMS - SiH(CH 3 ) 3 ), qui sont décomposés thermiquement à la surface du cuivre par CVD. Enfin, l étape de nitruration consiste en un plasma d ammoniac qui permet d incorporer de l azote dans la couche de CuSi précédemment formée pour créer une couche de CuSiN sur la surface du cuivre (Figure 2.1.d). 26

5 2.1. ETUDE PRÉLIMINAIRE Détermination des conditions des procédés CuSiN Critères requis pour l étape de siliciuration Les procédés CuSiN sont définis comme étant sélectifs, ce qui signifie que l étape de siliciuration ne doit incorporer des atomes de silicium que dans le cuivre et non sur/dans le masque dur SiO 2. D après Takewaki et al. [Tak95], la décomposition du silane sur du cuivre qui débute aux alentours de 200 C, est complète à partir de 250 C (Figure 2.2). Comme cette décomposition sur le SiO 2 ne débute qu au delà de 400 C [Tak95], l étape de siliciuration avec un précurseur silane est considérée comme sélective pour des températures comprises entre 200 et 400 C, ce qui fixe la plage de températures disponibles pour les procédés CuSiN. SiH 4 concentration (ppm) Silane Hydrogène Température en C H2 concentration (ppm) Figure 2.2 : Décomposition du silane sur du cuivre en fonction de la température [Tak95]. D autre part, l introduction d un procédé additionnel dans un schéma d intégration standard doit idéalement peu dégrader les performances électriques des interconnexions par rapport au gain potentiel que ce procédé pourrait apporter, notamment en terme de fiabilité. Or, l incorporation de silicium dans le cuivre par l étape de siliciuration dégrade inévitablement la résistance des lignes de cuivre. En effet, l incorporation d impuretés dans le cuivre, comme celle de silicium, augmente de façon significative la résistivité du matériau (Figure 2.3). Par conséquent, le procédé de siliciuration correspond à un compromis : incorporer suffisamment de silicium dans le cuivre pour former une couche de CuSi; limiter la quantité de silicium incorporé pour ne pas trop dégrader la résistance du cuivre. L étape de siliciuration est donc une étape critique qu il faut absolument maîtriser. 27

6 CHAPITRE 2. MÉCANISME DE FORMATION DU CUSIN P 2,5 Fe Fe Si As Cr Résistivité (.cm) 2,0 Sb Al Ni Ag 1,5 0,00 0,05 0,10 0,15 0,20 0,25 Concentration massique d'impuretés (%) Figure 2.3 : Variation de la résistivité du cuivre en fonction de la concentration d impuretés incorporées [Pop] Conditions des procédés CuSiN [Arn01, Gos02a] Afin de déterminer les conditions expérimentales permettant de former une couche de CuSiN de façon contrôlée, il faut considérer deux aspects du procédé : (i) la quantité de silicium incorporé dans le cuivre, qui dépend de la température du procédé, de la dilution du précurseur contenant du silicium et du temps de la siliciuration et (ii) le moment et la manière d incorporer de l azote par rapport à l étape de siliciuration : soit de façon séquentielle (siliciuration par CVD SiH 4 puis nitruration par plasma NH 3 ) soit de façon simultanée (CVD de SiH 4 et NH 3 ). Pour cette étude préliminaire, seul le précurseur SiH 4 est considéré. Afin d obtenir à la fois une décomposition totale du précurseur et une sélectivité de procédé (Paragraphe ), les propriétés de procédés réalisés à 300 et 400 C sont caractérisés (Tableau 2.1). Après le traitement du cuivre par les procédés CuSiN définis dans le Tableau 2.1, la profondeur d incorporation du silicium dans le cuivre est évaluée par spectroscopie de masse des ions secondaires (SIMS - Secondary Ion Mass Spectroscopy). Des mesures de résistances quatre pointes [Smi58] sont mises en œuvre avant et après les procédés CuSiN afin de déterminer leur influence sur la dégradation de la résistance du cuivre, mais aussi après un recuit à 450 C sous atmosphère inerte durant 30 minutes. Ce recuit, qui a pour but de simuler le budget thermique subi par l empilement s il était intégré dans des interconnexions, permet de favoriser la diffusion des éléments et de déterminer la stabilité de la couche de CuSiN formée. 28

7 2.1. ETUDE PRÉLIMINAIRE Tableau 2.1 : Conditions expérimentales pour l étude préliminaire des procédés CuSiN. Temp. N 2 SiH 4 Durée SiH 4 NH 3 Durée NH 3 ( C) (sccm ) (sccm) (sec) (sccm) (sec) Séquentiel A Séquentiel A Séquentiel A Simultané B Simultané B sccm - standard cubic centimeters per minute : cm 3.min Détermination d un procédé CuSiN type [Arn01, Gos02a] Une mesure de résistance quatre pointes donne en théorie une valeur de résistance surfacique. Elle est donc dépendante de l intensité du pic de silicium à la surface de l échantillon. Néanmoins, bien que les pics de silicium des échantillons A1, A3, B1 et B2 soient à la même hauteur, ils n ont pas la même valeur de résistance surfacique (Figure 2.4). Cette résistance est d autant plus élevée que le silicium s incorpore profondément. La mesure de résistance quatre pointes correspond donc à une mesure de résistance surfacique mais elle reflète aussi une partie de la résistance du volume du cuivre en proche surface. Les procédés CuSiN, pour lesquels l incorporation de silicium et d azote se fait de façon simultanée, i.e. par CVD de SiH 4 et NH 3, présentent une augmentation de résistance et Variation de la résistance (%) A1 A2 A3 B1 B2 Procédé Non Recuit Recuit 450 C Intensité (u.a.) A1 A2 A3 B1 B Profondeur (nm) (a) Variation de la résistance de cuivre après procédé CuSiN et recuit 450 C durant 30 min. (b) Profils SIMS du silicium suivis par Cs + selon le type de procédé effectué. Figure 2.4 : Influence des procédés CuSiN sur la résistance du cuivre et la profondeur d incorporation du silicium. 29

8 CHAPITRE 2. MÉCANISME DE FORMATION DU CUSIN un profil de silicium dans le cuivre indépendants de la durée du procédé (Figure 2.4), i.e. de la quantité de silicium apporté. Cette auto-limitation dans le processus d incorporation de silicium peut provenir de la formation d une couche de nitrure de silicium par CVD à la surface du cuivre empêchant l incorporation de silicium [Nog01]. De ce fait, le procédé faisant intervenir à la fois le silane et l ammoniac ne peut être retenu car il ne permet pas de contrôler la profondeur d incorporation de silicium dans le cuivre. Lorsque la nitruration suit l étape de siliciuration, l intensité du pic de silicium à la surface du cuivre et la profondeur d incorporation du silicium augmentent avec la température et la quantité de silicium disponible (Figures 2.4.a et b). Seul le procédé A2, pour lequel, la température et la concentration sont les plus faibles, présente une couche de CuSiN localisée sous la surface du cuivre et non dans son volume (peu de dégradation de la résistance), montrant ainsi que la température et la concentration en silane sont deux paramètres clefs pour le contrôle de la siliciuration. De plus, contrairement à A2, les procédés A1 et A3 ne sont pas stables sous une contrainte thermique (Figures 2.4.a) car après recuit, la diffusion de silicium est plus profonde dans le cuivre. Ce résultat montre donc que la proportion d azote incorporée par rapport à celle de silicium doit être suffisante pour stabiliser la couche de siliciure de cuivre sous une contrainte thermique. Pour conclure, les procédés de siliciuration et de nitruration doivent être appliqués successivement pour permettre le contrôle de l incorporation de silicium dans le cuivre. Afin de localiser la couche de CuSiN sous la surface du cuivre et donc, limiter la dégradation de la résistance du cuivre, la température du procédé et la concentration de silane doivent être minimisées (300 C à 1 % de silane). 2.2 Mécanisme de formation du CuSiN Choix d un précurseur pour la siliciuration Nous avons montré précédemment que l incorporation de silicium dans le cuivre devait être limitée afin de ne pas dégrader la résistance du cuivre, soit en minimisant la quantité de silicium apportée, soit en limitant la température du procédé. D un point de vue industriel, la température est un paramètre peu flexible. Les procédés CuSiN s effectuent généralement dans une chambre de dépôt de barrière diélectrique d un équipement PECVD. La température de dépôt, qui est fixée par le support chauffant, est spécifique à un type de procédé de barrière diélectrique car toute montée ou descente en température conduit à la diminution du rendement de l équipement due au délai induit par sa stabilisation 1. Une différence de température entre le procédé CuSiN et celle d une barrière diélectrique empêche donc leur utilisation dans la même chambre. Or, la température opti- 1 La montée ou la descente en température nécessite un certain délai avant la stabilisation de la température visée, ce qui diminue le nombre global de plaques traitées par unité de temps. 30

9 2.2. MÉCANISME DE FORMATION DU CUSIN Figure 2.5 : Représentation tri-dimensionnelle (à gauche) du silane (SiH 4 ) et (à droite) de tri-méthyl-silane (TMS - SiH(CH 3 ) 3 ) et du volume qu ils occupent. SiO 2 Cu Intensité (u.a.) Si (Silane) 10 1 Cu (Silane) Si (TMS) Cu (TMS) Temps d'érosion (s) Figure 2.6 : Profils du silicium et du cuivre après procédé CuSiN avec silane ou tri-méthylsilane suivi d un dépôt de SiO 2. male d un procédé CuSiN peut largement différer de celle du dépôt de la barrière diélectrique (pcusin à 300 C et dépôt de SiCN entre 325 et 400 C). Une solution pour réduire la quantité de silicium à incorporer sans modifier la température de procédé serait soit de réduire davantage la quantité de silane (diminuer le temps de siliciuration, le débit de silane...) soit d utiliser un autre précurseur à base de silicium qui se décompose moins facilement ou qui propose moins de silicium. Pour la première solution, 10 secondes et 40 sccm (Tableau 2.1) sont les limites basses de fonctionnement de l équipement utilisé (limite de sensibilité des débimètres). Pour la seconde solution, le Tri-Méthyl-Silane 31

10 CHAPITRE 2. MÉCANISME DE FORMATION DU CUSIN Tableau 2.2 : Variation de la résistance (mesure 4 pointes) après procédé CuSiN selon le précurseur utilisé. Procédé pcusin Silane pcusin TMS Réf. SiCN R(%) 3, 6 0 0, 2 (TMS) semble être un bon candidat du fait de ses liaisons Si-C (451,5 kj.mol 1 à 298 K [Lid03]) plus difficiles à rompre que les liaisons Si-H du silane (< 299,2 kj.mol 1 à 298 K [Lid03]) et du volume plus important de la molécule (Figure 2.5). Pour valider le choix du précurseur TMS, deux procédés CuSiN à 300 C utilisant soit le silane (40 sccm de SiH 4 dilués dans 4000 sccm de N 2 ) soit le TMS (50 sccm de TMS 2 dilués dans 4000 sccm de N 2 ) pour la siliciuration sont appliqués à la surface du cuivre, suivis de la même étape de nitruration. Dans le cas du CuSiN avec TMS, l incorporation de silicium dans le cuivre est beaucoup moins importante que dans le cas du silane (Figure 2.6), ce qui se traduit par une plus faible augmentation de la résistance du cuivre (Tableau 2.2). Ces résultats confirment donc l intérêt d évaluer le TMS comme un précurseur pouvant fournir une plus faible quantité de silicium. Il s agit désormais de déterminer si ces deux précurseurs remplissent les critères requis pour les procédés CuSiN. Nous montrerons tout d abord le rôle de l étape de nitruration pour le procédé CuSiN, tout en comparant silane et TMS, puis nous donnerons un mécanisme de formation d une couche de CuSiN à travers l étude de l effet de la microstructure et de la cristallographie du cuivre sur la siliciuration Intérêt de l étape de nitruration Un procédé CuSiN intégré en tant que barrière auto-positionnée doit, en plus d être sélectif, jouer le rôle de barrière contre l oxydation du cuivre et contre sa diffusion dans un isolant à faible permittivité Propriétés barrières Oxydation du cuivre Le siliciure de cuivre seul (CuSi) n est pas stable lorsqu il est en contact avec l atmosphère ambiante et forme un oxyde de silicium à sa surface [Set90, Cro90, Rob97]. Dans le cas où un procédé CuSiN est intégré en remplacement d une barrière diélectrique, le matériau formé sera nécessairement en contact avec l atmosphère oxydante créée lors du dépôt du matériau diélectrique à faible permittivité supérieur de type SiOC qui contient un plasma à 2 Avec l équipement utilisé, 50 sccm de TMS est le minimum possible. 32

11 2.2. MÉCANISME DE FORMATION DU CUSIN Substrat Cu Plasma oxygène SiCN CuSiN SiOC TaN/Ta Plasma oxygène Intensité (coups) 10 7 SiOC SiCN Cu Cu O Temps d'érosion (sec) Intensité (coups) (a) Descriptif des procédés appliqués SiOC Cu Cu (CuSiN/Silane) Cu CuSiN/Silane + O 2 ) O (CuSiN/Silane + O 2 ) O (CuSiN/Silane) Temps d'érosion (sec) (b) Profils SIMS (Cs + ) du cuivre et de l oxygène pour la barrière SiCN référence. Intensité (coups) 10 7 SiOC CuO x Si (CuSiN/TMS + O 2 ) Cu (CuSiN/TMS + O 2 ) O (CuSiN/TMS + O 2 ) Si (CuSiN/TMS) Cu (CuSiN/TMS) O (CuSiN/TMS) Temps d'érosion (sec) (c) Profils SIMS (Cs + ) du cuivre et de l oxygène pour un procédé CuSiN avec silane. (d) Profils SIMS (Cs + ) du cuivre, du silicium et de l oxygène pour un procédé CuSiN avec TMS. Figure 2.7 : Effet d un plasma d oxygène sur une barrière SiCN référence et sur les matériaux CuSiN formés par silane et TMS. base d oxygène. Un des rôles de la nitruration est donc de modifier le CuSi pour le rendre résistant à l intégration, notamment vis-à-vis des atmosphères oxydantes. Afin d évaluer l efficacité de la couche de CuSiN contre l oxydation, deux échantillons cuivre traités par des procédés CuSiN avec silane ou TMS sont soumis à un plasma oxygène, puis encapsulés par un dépôt de SiOC (ε SiOC r = 3, 1) et comparés à une barrière référence SiCN (Figure 2.7.a). Les signaux d oxygène, de cuivre et de silicium sont suivis par SIMS. Dans le cas d une barrière référence SiCN, le profil SIMS de l oxygène présente deux pics d oxygène remarquables (Figure 2.7.b). Le premier pic à l interface SiCN/SiOC est une conséquence du plasma oxygène qui précède le dépôt du SiOC utilisé pour améliorer l adhésion entre le SiCN et le SiOC. Le second reflète la présence d un oxyde à la surface du cuivre. Ces résultats montrent également que l oxydation du cuivre ne conduit pas à la 33

12 CHAPITRE 2. MÉCANISME DE FORMATION DU CUSIN diffusion d oxygène en profondeur dans le cuivre, elle se traduit par un pic plus ou moins intense à l interface oxydée. Dans le cas du silane, les profils d oxygène à la surface du CuSiN et dans le cuivre ne sont pas influencés par le plasma oxygène car il n y aucun pic significatif à l interface CuSiN/SiOC (Figure 2.7.c). La couche de CuSiN formée par silane est donc efficace contre l oxydation du cuivre. Cette propriété barrière contre l oxydation du cuivre ne provient pas du siliciure de cuivre seul (CuSi) qui s oxyde aisément à l atmosphère ambiante [Rob97]. Ce résultat démontre la nécessité de l étape de nitruration pour la réalisation de barrières auto-positionnés CuSiN, i.e. sans barrière diélectrique. Dans le cas du procédé CuSiN avec TMS, le plasma oxygène dégrade la surface du cuivre en formant un oxyde de cuivre épais à sa surface (Figure 2.7.d). Ceci signifie que la surface de l échantillon présente alors une discontinuité de la couche de CuSiN qui laisse apparaître des zones de cuivre nues. Ce résultat révèle que dans les conditions expérimentales utilisées (température, débit, dilution, temps, nitruration...), contrairement au silane, le TMS ne forme pas une barrière efficace contre l oxydation du cuivre. L utilisation du TMS comme précurseur de la siliciuration pour un procédé CuSiN en tant que barrière auto-positionnée nécessite alors une augmentation, soit de la quantité de silicium apportée durant la siliciuration (plus grand débit, durée plus longue, plus faible dilution), soit de la température. Sinon, il faut envisager l intégration du procédé CuSiN avec TMS comme un traitement avant le dépôt d une barrière diélectrique qui jouera alors le rôle de barrière contre l oxydation du cuivre. Diffusion du cuivre Une barrière auto-positionnée doit, par définition, empêcher la diffusion du cuivre dans l isolant. Afin dévaluer cette propriété, le signal du cuivre est suivi par SIMS dans du SiO 2, recouvrant soit du CuSiN soit une barrière diélectrique référence (SiCN). Un recuit à 400 C après le dépôt est utilisé pour favoriser la diffusion du cuivre dans l isolant (Figure 2.8.a). Les résultats montrent que le signal du cuivre dans le SiO 2 dans le cas d un procédé CuSiN est le même que celui obtenu pour une barrière diélectrique SiCN (Figure 2.8.b), mettant ainsi en évidence l efficacité de la couche de CuSiN contre la diffusion du cuivre. Afin de déterminer le rôle de l azote sur l efficacité d une couche de CuSiN à empêcher la diffusion du cuivre, plusieurs conditions expérimentales de nitruration sont évaluées, en faisant varier le temps et la puissance du plasma NH 3 [Gos02b]. La quantité d azote incorporée dans la couche de CuSiN est suivie par observation directe des réactions nucléaires (NRA - Nuclear Reaction Analysis). La quantité de cuivre qui aurait traversé une couche de CuSiN après un recuit jusqu à la surface d un oxyde de silicium la recouvrant est détectée par spectroscopie à absorption atomique après décomposition en phase liquide (LPD AAS - Liquid Phase Decomposition Atomic Absorption Spectroscopy) de la surface de cet oxyde. Les résultats montrent que la quantité d azote incorporée augmente avec le temps de 34

13 2.2. MÉCANISME DE FORMATION DU CUSIN Substrat Cu SiCN CuSiN SiO 2 TaN/Ta + recuit 400 C 30 min. (a) Schéma en coupe des empilements étudiés. Intensité (coups) SiO 2 Interface SiO 2 / barrière Si (SiCN) Cu (SiCN) Si (CuSiN) Cu (CuSiN) Temps d'érosion (sec) (b) Profils SIMS (Cs + ) du Cu et du Si pour une couche de CuSiN avec un procédé CuSiN et pour une barrière diélectrique référence SiCN. Figure 2.8 : Profils SIMS du cuivre et du silicium après recuit d une barrière référence et d une couche de CuSiN W 30s 325W 60s Q(Cu)[10 11 at./cm²] W 30s 500W 60s Seuil de détection du cuivre par LPD AAS Cu Q(N)[10 15 at./cm²] Figure 2.9 : Evolution de l efficacité barrière du CuSiN en fonction de la quantité d azote contenue dans la couche de CuSiN pour un débit de 145 sccm de NH 3 [Gos02b]. traitement, mais surtout avec la puissance du plasma NH 3 (Figure 2.9). Par ailleurs, plus la quantité d azote incorporée est élevée, et plus la quantité de cuivre détectée à la surface de l oxyde de silicium diminue; elle atteint même le seuil minimal de détection de la technique de LPD AAS pour 145 sccm de NH 3, 500 W durant 60 secondes (Figure 2.9). Ce résultat fixe ainsi une condition minimale pour la nitruration et montre le rôle essentiel de cette étape de nitruration pour empêcher la diffusion du cuivre. 35

14 CHAPITRE 2. MÉCANISME DE FORMATION DU CUSIN Stabilisation du silicium Nous avons vu qu une proportion élevée d azote par rapport au silicium dans la couche de siliciure permettait de la stabiliser lors d une contrainte thermique (Figure 2.4.a, Page 29) comme celle subie lors des différentes étapes d intégration. Il s agit alors de déterminer le comportement de cette couche sous une contrainte électrique telle que celle subie lors de l utilisation d un circuit intégré. Protocole expérimental Afin de dissocier l influence de la température sur la diffusion de silicium dans le cuivre, de celle d une contrainte électrique telle que le courant, il faut garder une même température de ligne T L traitée pcusin lors de la mesure de sa résistance. Une élévation T J de la température d une ligne par effet Joule due à une augmentation du courant I qui la traverse doit être compensée par une diminution de la température du support chauffant T S. Pour cela, il faut déterminer deux caractéristiques de l échantillon, le coefficient α de variation de la résistance avec la température et la résistance thermique R th de la structure. R th correspond au rapport entre l élévation de température T J par effet Joule et la puissance électrique dissipée dans la ligne. α est donné par l Equation 2.1 : avec R 0 la résistance de la structure à la température T 0. α = 1 ( ) dr (2.1) R 0 dt T 0 La connaissance de α permet de calculer la résistance à faible courant, i.e. sans effet Joule (T S =T L ), quelle que soit la température (Equation 2.2) car la variation de la résistance du cuivre en fonction de la température est linéaire pour les températures considérées [Lid03, Mur93]. R(T S ) = R(T 0 ).(1 + α.(t S T 0 )) (2.2) Pour déterminer R th, il s agit de mesurer la résistance R 1 (T) à la température de test T S mais cette fois-ci avec une intensité I élevée de telle sorte qu il y ait effet Joule. On a alors : R 1 (T S ) = R 0.(1 + α.(t S T 0 + T J )) (2.3) R th = T J R 1 (T S ).I 2 (2.4) En regroupant les Equations 2.3 et 2.4, on obtient la relation qui lie l élévation de température T J par effet Joule et le courant I appliqué (Equation 2.5). 36 T J = R th.r 0.I 2.(1 + α.(t S T 0 )) 1 R th.r 0.α.I 2 (2.5)

15 2.2. MÉCANISME DE FORMATION DU CUSIN Enfin, il suffit de résoudre T J + T S = T L = Constante pour déterminer la température du support à appliquer pour compenser l élévation de température T J due à un courant I. Stabilité du CuSi et rôle de N Pour une température de ligne T L constante et égale à 200 C, la résistance des lignes siliciurées et nitrurées augmente avec la densité de courant et le temps de test (Figure 2.10) : la diffusion du silicium de la couche de CuSi ou de CuSiN dans le cuivre est favorisée par la densité de courant. Néanmoins, pour une densité de courant donnée, la présence d azote dans la couche de siliciure de cuivre réduit de façon significative la diffusion de silicium dans le cuivre. Ce résultat montre qu une quantité suffisante d azote permettrait de stabiliser le silicium contenu dans le siliciure de cuivre nitruré sous une contrainte électrique forte, ce qui se traduirait par une absence d augmentation graduelle de résistance en fonction du temps. Augmentation de la résistance par rapport à la valeur initiale ( ) 10 8 CuSi 6 4 CuSiN Temps (sec) Température de ligne : 200 C Augmentation de la densité de courant Température du support chauffant 25 C 75 C 125 C Figure 2.10 : Evolution de la résistance de lignes de 140 nm de large avec un procédé CuSiN avec ou sans azote. Ce résultat est d autant plus important que les tests d électromigration ont pour critère de défaillance une augmentation de la résistance de la ligne testée entre 10 et 20 %. Ce critère est représentatif d une augmentation abrupte de la résistance d une ligne testée due à sa rupture par la formation d une cavité critique. En l absence de l étape de nitruration, ce critère peut rapidement être atteint par une diffusion de silicium dans le cuivre sans qu il y ait rupture de la ligne. Ceci montre à quel point l étape de nitruration est nécessaire pour pouvoir effectuer les tests de fiabilité sans les fausser. Pour conclure, l étape de nitruration est une étape cruciale et nécessaire en terme d efficacité barrière contre la diffusion du cuivre, contre son oxydation, mais aussi en terme de performances électriques et de fiabilité. 37

16 CHAPITRE 2. MÉCANISME DE FORMATION DU CUSIN Etude des propriétés de siliciuration Durant l étape de siliciuration, le silicium peut s incorporer dans le cuivre soit par le biais des joints de grains, soit dans les grains de cuivre eux-mêmes. De ce fait, pour le premier mécanisme, l incorporation profonde de silicium dans le cuivre doit dépendre de la quantité de joints de grains disponibles et donc, de la microstructure du cuivre. Pour le second mécanisme, cette incorporation de silicium devrait dépendre de l orientation cristalline des grains de cuivre en surface Profondeur d incorporation des atomes de silicium Microstructure du cuivre La microstructure du cuivre dépend entre autre de ses conditions de recuit après son dépôt. Un recuit du cuivre durant 90 secondes à 250 C sur une plaque chauffante (HP - Hot Plate) conduit à la formation de grains plus petits qu un recuit à 400 C durant 25 minutes dans un four, comme le montrent les observations au Microscope Électronique à Balayage (MEB) des Figures 2.11.a et b. Ces résultats sont également confirmées par la littérature [Hau03, Jia02b]. Il est donc possible d étudier la dependance de la profondeur de siliciuration en fonction de la microstructure du cuivre en modifiant le recuit cuivre après sa croissance. Effet de la microstructure du cuivre sur la siliciuration Pour cette étude, nous utiliserons le silane et le TMS comme précurseurs de la siliciuration, ce qui permet de contrôler la quantité de silicium à incorporer dans le cuivre, avec des conditions de procédés similaires (température, concentration, temps d exposition). La profondeur d incorporation de silicium en proche surface de l échantillon sera déterminée par spectroscopie des électrons Auger (AES - Auger Electron Spectroscopy) couplée à une technique d abrasion. En ce qui concerne le procédé CuSiN avec silane (Figure 2.12.a), le silicium s incorpore plus profondément dans le cuivre que pour le TMS (Figure 2.12.b). Contrairement au TMS, la profondeur d incorporation du silicium pour le silane dépend du type de recuit cuivre effectué : elle est plus importante dans le cas d une plus grande concentration de joints de grains, i.e. pour de petits grains de cuivre. Ce résultat montre que l incorporation profonde de silicium dépend fortement de la microstructure du cuivre, et donc, s effectue préférentiellement aux joints de grains. Contrairement au CuSiN avec TMS, les profils AES du Cu, Si, et N du CuSiN avec silane montre une couche de SiN additionnelle sur le CuSiN formée par le procédé CuSiN lui-même. Une analyse par spectroscopie de photoélectrons X (XPS - X-ray Photoemission Spectroscopy), qui permet de déterminer la composition de la surface des échantillons, montre une forte influence du type de précurseur étudié mais aucunement du type de recuit cuivre. L aire 38

17 2.2. MÉCANISME DE FORMATION DU CUSIN (a) Cuivre après recuit à 250 C durant 90 secondes sur HP. (b) Cuivre après recuit à 400 C durant 25 minutes dans un four. Figure 2.11 : Images MEB inclinées de 15 o de lignes de cuivre en coupe. Intensité (u.a.) N (Cu-250 C) Si (Cu-250 C) Cu (Cu-250 C) N (Cu-400 C) Si (Cu-400 C) Cu (Cu-400 C) Profondeur (Å) (a) Cas du précurseur silane. Intensité (u.a.) N (Cu-250 C) Si (Cu-250 C) Cu (Cu-250 C) N (Cu-400 C) Si (Cu-400 C) Cu (Cu-400 C) Profondeur (Å) (b) Cas du précurseur TMS. Figure 2.12 : Profils des éléments Cu, Si et N déterminés par la technique AES couplée à une technique d abrasion pour les procédés CuSiN après recuits cuivre 250 et 400 C. du pic de cuivre pour le précurseur silane est beaucoup plus faible que celle du précurseur TMS (Figure 2.13.a), ce qui révèle la présence d une couche additionnelle sur le CuSiN avec silane. Le pic à 933 ev (932, 8 ev pour le silane et 933, 08 ev pour le TMS) correspond à des liaisons de type Cu-Si, mettant ainsi en évidence la formation de liaisons entre le cuivre et le silicium et donc, la présence d un siliciure de cuivre à la surface des échantillons. Les liaisons de type oxynitrure (397, , 8 ev) et de type Si-N (101, 6-102, 06 ev) montrent la présence d un matériau composé de nitrure de silicium à la surface des échantillons (Figures 2.13.b et c). Dans le cas du précurseur TMS, comme l aire du pic de cuivre est plus importante que celles du Si et du N ( Cu Cu+Si+N = 0, 54), la surface de l échantillon est un matériau CuSiN riche en cuivre. Dans le cas du silane, comme les aires des pics de Si et de 39

18 CHAPITRE 2. MÉCANISME DE FORMATION DU CUSIN Intensité (coups) ,84 933,08 934,53 Cu 2p (CuSiN/SiH 4 ) Cu 2p (CuSiN/TMS) Energie (ev) (a) Spectre du cuivre 2p. 0 Intensité (coups) Intensité (coups) ,5 101,6 102,06 103,17 Si 2p (SiH 4 ) Si 2p (TMS) Energie (ev) (b) Spectre du silicium 2p Intensité (coups) Intensité (coups) ,52 397,8 398 N 1s (SiH 4 ) N 1s (TMS) Intensité (coups) 398, Energie (ev) (c) Spectre d azote 1s. Figure 2.13 : Spectres XPS de la surface des échantillons CuSiN avec silane ou TMS. N sont les plus conséquentes et celle du Cu négligeable ( Cu Cu+Si+N = 0, 02), et comme les liaisons de type Si-Si (96, 5 ev) ne s observent que pour le CuSiN avec silane (Figure 2.13.b), la surface de l échantillon qui masque le cuivre est composée de SiN. Ce résultat qui confirme l analyse AES (Figure 2.12.a), révèle la présence d une couche de SiN sur le CuSiN pour l échantillon avec précurseur silane. Enfin, la présence de cette bi-couche CuSiN/SiN auto-positionné uniquement sur le cuivre, absente de la surface du masque dur SiO 2, est également observée par Microscope Électronique à Transmission (MET) d une ligne de cuivre en coupe dont la surface a été traitée par le procédé CuSiN avec silane (Figure 2.14). L épaisseur de CuSiN est de 3, 4 nm et celle de SiN de 4, 7 nm. Pour conclure, l incorporation profonde de silicium dans le cuivre, qui se fait principalement aux joints de grains, est dépendante de la microstructure du cuivre, et donc du type de recuit cuivre effectué. Par contre, la formation d un bi-couche CuSiN/SiN auto-positionné, qui ne se fait que lorsque la quantité de silicium apportée est importante (cas du silane), est 40

19 2.2. MÉCANISME DE FORMATION DU CUSIN Figure 2.14 : Coupe longitudinale prise au MET d une ligne de cuivre traitée pcusin avec silane formant une bi-couche. indépendante de la microstructure du cuivre. Cette couche de SiN localisée uniquement sur le cuivre, qui se forme durant le procédé CuSiN pourrait fortement contribuer aux propriétés barrières précédemment observées. Néanmoins, la formation de ce SiN ne peut se faire que s il reste du silicium à la surface du cuivre après l étape de siliciuration et durant celle de nitruration, i.e. le SiN ne se forme que si le cuivre est fortement siliciuré en surface. Nous allons donc déterminer le taux de siliciuration de la surface du cuivre et les solutions pour l améliorer Détermination du taux de siliciuration Influence de la quantité de silicium Si le CuSiN est introduit en tant que barrière auto-positionnée, il doit être continu sur toute la surface de cuivre soit pour la rendre totalement hermétique, soit pour permettre la formation supplémentaire d une couche de SiN continue sur toute la surface du cuivre. Ceci rend donc l étape de siliciuration déterminante pour le taux de recouvrement du cuivre par une couche de CuSiN. Deux procédés de siliciuration de 10 secondes à 350 C et sans nitruration utilisant le précurseur silane sont étudiés afin de déterminer l influence de la quantité de silicium apportée sur le taux de siliciuration de la surface du cuivre. La faible quantité de silicium apportée correspond à une dilution de 1 % de silane (30 sccm de silane 3 et 3000 sccm d azote) et la quantité importante de silicium à 5 % (150 sccm de silane et 3000 sccm d azote). Une analyse AES montre que toute la surface du cuivre après forte siliciuration (5 %) et exposition à l atmosphère ambiante est recouverte d un oxyde de silicium continu [Cro90, 3 Il s agit ici d une étude avec un équipement différent de celui utilisé précédemment, ce qui explique l utilisation de 30 sccm de silane, qui est la limite basse de cet équipement, alors que dans les cas précédents, la limite basse de l équipement était de 40 sccm. 41

20 CHAPITRE 2. MÉCANISME DE FORMATION DU CUSIN Rob97]. Néanmoins, après une légère abrasion de la surface de l échantillon par un plasma argon, le signal de l oxygène disparaît et celui du silicium passe d un état oxyde à un état siliciure (Figure 2.15), mettant en évidence la formation d un siliciure de cuivre qui s est oxydé à l atmosphère ambiante avant l analyse AES. Les observations MEB de la surface des échantillons faiblement siliciurés (1 %) montrent deux types de topologie (Figure 2.16.a). Une cartographie AES des éléments Cu, Si et O (Figures 2.16.b, c et d) révèlent que les régions «plates» sont essentiellement composées de cuivre non siliciuré et les régions «rugueuses», composées de silicium et d oxygène, correspondant à du siliciure de cuivre oxydé par l atmosphère ambiante. De tels résultats montrent clairement qu il existe une quantité minimale de silicium à apporter pour siliciurer toute la surface du cuivre. Une cartographie de la surface de l échantillon faiblement siliciuré réalisée par microscopie à forces atomiques (AFM - Atomic Force Microscopy) confirme la présence de deux types de topologie (Figure 2.17.a). Afin de déterminer la nature des grains de cuivre non siliciurés, leur potentiel de sortie, qui est caractéristique de l orientation cristalline du cuivre [Gar72], est déterminé par microscopie en champ proche (KFM - Kelvin probe Force Microscopy). La cartographie des potentiels de sortie de la même zone observée par AFM (Figure 2.17.b) montre que les régions non siliciurées (cuivre) ont un potentiel de sortie plus élevé que celui des régions siliciurées et oxydées (CuSiO). La Figure 2.17.c, qui représente le potentiel de sortie en fonction de la position balayée, montre que le cuivre non siliciuré a un potentiel de sortie de l ordre de 4, 92 ev, ce qui est proche de celui d un cuivre dont la surface est orientée (111) (4, 94 ev), alors que les surfaces orientées (100) et (110) correspondent respectivement à 4, 59 et 4, 48 ev [Gar72]. L analyse par KFM indique donc que la capacité du cuivre à se siliciurer dépend de l orientation cristalline du cuivre. Une analyse par diffraction des électrons rétro-diffusés (EBSD - Electron Back Scattered Diffraction), qui permet de déterminer la nature des grains de cuivre non siliciurés (ceux étant siliciurés et oxydés ne peuvent pas être indexés), montre que, sur une plus grande surface que celle analysée par KFM, les grains de cuivre orientés (111) et (100), qui correspondent aux plans les plus denses vis-à-vis de la siliciuration, ne sont pas siliciurés (Figure 2.18). Si l on considère le rayon d un atome pouvant s incorporer dans les interstices d un grain de cuivre (111) ou (100), il est beaucoup plus petit que celui du silicium (Figure 2.18.b). Par contre, les grains moins denses (orientés (110), (211),...), qui sont siliciurés ont des interstices suffisamment importants pour permettre l incorporation d atomes de silicium. Ce résultat indique que la siliciuration des grains de cuivre orientés autre que (111) et (100) se fait de façon interstitielle 4. Néanmoins, la proportion de grains (111) et (100) après siliciuration (20 % de la surface analysée) est plus faible que celle avant siliciuration (40 % de la surface analysée), ce qui 4 Les rayons d un atome de cuivre et de silicium sont respectivement 1, 38 Å et 1, 11 Å. 42

21 2.2. MÉCANISME DE FORMATION DU CUSIN Intensité (coups) 4,56x10 5 Si avant abrasion Si après abrasion 4,52x10 5 Oxyde 4,48x10 5 4,44x10 5 4,40x10 5 Siliciure Energie cinétique (ev) 1640 (a) Spectres AES du silicium. Intensité (coups) 3,4x10 5 3,2x10 5 3,0x10 5 2,8x10 5 O avant abrasion O après abrasion 2,6x Energie cinétique (ev) (b) Spectres AES de l oxygène. Figure 2.15 : Spectres Auger du silicium et de l oxygène de l échantillon à forte siliciuration avant et après abrasion de la surface. (a) Image MEB en vue de dessus. (b) Cartographie AES du Cu du cliché MEB. (c) Cartographie AES de O du cliché MEB. (d) Cartographie AES de Si du cliché MEB. Figure 2.16 : Cliché MEB et cartographie AES associée d un échantillon faiblement siliciuré. 43

22 CHAPITRE 2. MÉCANISME DE FORMATION DU CUSIN 4,94 4,92 (a) Cartographie AFM. Potentiel de sortie (ev) 4,90 4,88 4,86 4,84 4,82 4, Position d'analyse (µm) (b) Cartographie KFM. (c) Potentiel de sortie de la zone balayée. Figure 2.17 : Analyses AFM et KFM d un échantillon faiblement siliciuré. montre qu une partie des grains (111) et (100) est également siliciurée. Quand la quantité de silicium est plus importante (5 %), toute la surface de l échantillon est recouverte de siliciure de cuivre oxydée, ce qui signifie que tous les grains de cuivre, même les grains (111) et (100), sont siliciurés. Des résultats similaires trouvés dans la littérature utilisant une quantité encore plus conséquente de silane montre la siliciuration de mono-cristaux de cuivre (111) [Rob97, McC90, Kan96] et (100) [Gra92], en faisant intervenir un mécanisme de siliciuration fondé sur la substitution d atomes de cuivre par des atomes de silicium. Pour conclure, deux mécanismes sont impliqués dans la siliciuration, à la fois l incorporation d atomes de silicium de façon interstitielle et la substitution d atomes de cuivre par du silicium. Le premier a pour conséquence directe que la siliciuration de la surface du cuivre soit favorisée pour les grains présentant une surface peu dense, et le second que la proportion de la surface de cuivre siliciurée augmente avec la quantité de silicium apportée. Dans une intégration de type barrière auto-positionnée, il suffit d augmenter la quantité de silicium apportée jusqu à ce que tous les grains de cuivre soient siliciurés afin d obtenir une couche de CuSiN continue. Néanmoins, cette augmentation de la quantité de silicium conduit de façon inéluctable à la dégradation de la résistance du cuivre. Il s agit donc de déterminer d autres moyens d augmenter la proportion de cuivre siliciuré sans apport additionnel de silicium. 44

23 2.2. MÉCANISME DE FORMATION DU CUSIN (a) Cartographie EBSD. (b) Rayon maximum d un atome en position interstitiel selon le plan cristallin de cuivre considéré. Figure 2.18 : Cartographie EBSD de la surface d un échantillon faiblement siliciuré. Influence de la préparation de la surface En l absence de traitement de surface du cuivre, la siliciuration, qui se fait alors directement sur un oxyde de cuivre, ne conduit pas à l incorporation de silicium dans le cuivre même en employant une quantité importante de silicium (Figure 2.19). L état de surface du cuivre se révèle donc être critique pour l incorporation de silicium dans le cuivre. C est pourquoi deux conditions de plasma à base d hydrogène, appliquées avant la siliciuration, sont étudiées afin de déterminer leur efficacité à retirer l oxyde natif de cuivre et leur effet sur la siliciuration. Les deux plasmas ont une puissance de 750 W durant 20 secondes à 350 C, le premier avec 1600 sccm d H 2 (H 2 pur) et le second avec 1100 sccm d H 2 et 500 sccm d He (H 2 dilué). Tout d abord, après une oxydation volontaire de la surface du cuivre par un plasma oxygène, la réflectivité décroît fortement (- 70 %) à cause de la présence d un oxyde de cuivre à la surface de l échantillon. Par contre, lorsqu un plasma à base d hydrogène (H 2 ou H 2 -He) suit l oxydation volontaire du cuivre, la réflectivité est légèrement plus élevée que celle avant oxydation (+ 5 %), ce qui signifie que l oxyde de cuivre formé par le plasma d oxygène a été totalement retiré. L augmentation de la réflectivité par rapport à celle initialement mesurée vient du fait que la première mesure de réflectivité prenait également en compte la présence d un oxyde natif de cuivre également retiré. Ce résultat montre clairement l efficacité de ces traitements plasmas pour retirer l oxyde natif de cuivre. Pour une quantité élevée de silicium (5 %), l augmentation de la résistance (>40 %), due à l incorporation d une grande quantité de silicium aux joints de grains, est plus faible lorsque le plasma réducteur contient de l hélium (Figure 2.19). L hélium agit donc sur les joints de grains du cuivre pour limiter l incorporation de silicium. Dans le cas d une plus faible quantité de silicium apportée (1 %), l incorporation de silicium dans le cuivre est 45

24 CHAPITRE 2. MÉCANISME DE FORMATION DU CUSIN Intensité (coups) Si (H 2 -He + 5% silane) Si (H % silane) Si (H % silane) Si (H 2 -He + 1 % silane) Temps d'érosion (sec) Variation de résistance (%) Pas de préparation de surface Plasma H 2 -He Plasma H 2 0 % 1 % 5 % Dilution du silane (a) Profils SIMS (Cs + ) du Si selon le traitement. (b) Variation de la résistance selon le traitement. Figure 2.19 : Influence de la préparation de surface avant siliciuration sur l incorporation de silicium dans le cuivre. moins profonde (Figure 2.19.a), ce qui se traduit par une dégradation de résistance moins marquée que dans le cas avec 5 % de silane. La nature du plasma réducteur n influence ni la profondeur d incorporation de silicium (Figure 2.19.a) ni la valeur de la résistance finale du cuivre (Figure 2.19.b). Les observations MEB de la surface des échantillons silane 1 % traités H 2 et H 2 -He montrent la présence des deux types de morphologie déjà observés (Figure 2.20), liés à la présence de cuivre (zones claires et plates) ou de siliciure de cuivre oxydé (zones sombres et rugueuses). Un traitement numérique, qui permet de calculer la proportion de zones claires et de zones sombres, montre que les images MEB de l échantillon H 2 sont composés à 16 % de cuivre contre 25 % pour l échantillon H 2 -He. Ce résultat met donc en évidence que le traitement de la surface du cuivre par plasma a une influence sur la quantité de cuivre qui sera siliciurée par la suite (84 % pour H 2 et 75 % pour H 2 -He). Il est donc possible d augmenter la proportion de cuivre siliciuré tout en gardant une faible quantité de silicium apportée, ce qui permet de ne pas trop dégrader la résistance du cuivre. Pour conclure, l incorporation en profondeur de silicium dans le cuivre, qui se fait par le biais des joints de grains, dépend fortement de la microstructure du cuivre et donc du type de recuit après sa formation. L incorporation de silicium à la surface des grains de cuivre se fait de façon interstitielle et par substitution d atomes de cuivre par des atomes de silicium. Elle dépend donc de l orientation cristalline des grains de cuivre en surface et de la quantité de silicium disponible. Pour augmenter la proportion de grains de cuivre siliciurés, il est possible d augmenter la quantité de silicium apportée durant l étape de siliciuration, mais au détriment de la résistance du cuivre. Une première solution pour augmenter la quantité de grains de cuivre siliciurés sans dégrader la résistance serait de modifier l état de surface du cuivre par des traitements plasmas avant siliciuration en utilisant une faible quantité de 46

25 2.2. MÉCANISME DE FORMATION DU CUSIN (a) Cas d un plasma H 2 -He. (b) Cas d un plasma H 2. Figure 2.20 : Images MEB (15 15 µm 2 ) en vue de dessus des échantillons à faible siliciuration en fonction de la préparation de surface représentative de l ensemble de la plaque. silicium. Une seconde alternative serait d appliquer au moins deux fois de suite la séquence complète de siliciuration / nitruration. La première séquence permettrait la formation de CuSiN sur les grains de cuivre peu denses, limitant ainsi la dégradation de la résistance du cuivre. La seconde séquence, qui n aurait aucun effet sur les grains de cuivre déjà siliciurés, serait plus riche en silicium, ce qui permettrait de former du CuSiN à la surface des grains de cuivre denses Proposition d un mécanisme de formation A partir des résultats précédemment obtenus, un mécanisme de formation d une couche de CuSiN et de SiN par les procédés CuSiN avec un précurseur silane peut être proposé. Après retrait de l oxyde natif de cuivre, au début de l étape de siliciuration, la quantité de silicium apportée par la dissociation du silane à la surface du cuivre est faible. Le silicium s incorpore peu profondément, essentiellement à la surface du cuivre au niveau des joints de grains et de façon interstitielle dans les grains de cuivre dont la surface est peu dense (grains orientés (110), (211),...) (Figures 2.21.a et b). Lorsque la quantité de silicium augmente, l incorporation de silicium se fait plus profondément aux joints de grains. Les grains de cuivre dont la surface est dense (grains orientés (111) et (100)) se siliciurent également par substitution d atomes de cuivre par des atomes de silicium et cela, jusqu à saturer la surface du cuivre et la recouvrir complètement de silicium (Figure 2.21.c). Lorsque le plasma NH 3 est activé, des atomes d azote s incorporent dans le cuivre, réagissent avec les atomes de silicium présents dans le cuivre pour former une couche de CuSiN. Après l étape de siliciuration, lorsque des atomes de silicium en excès se trouvent à la surface 47

26 CHAPITRE 2. MÉCANISME DE FORMATION DU CUSIN (a) (b) (c) Figure 2.21 : Schéma en coupe (haut) et en vue de dessus (bas) du procédé de siliciuration avec précurseur silane. Figure 2.22 : Schéma en coupe (haut) et en vue de dessus (bas) du procédé de nitruration. du cuivre, ils vont réagir avec le plasma NH 3 pour former une couche additionnelle de SiN uniquement sur le CuSiN (Figure 2.22). Pour conclure ce chapitre, les procédés CuSiN auto-positionnés se décomposent en trois étapes majeures consécutives qui sont (i) l activation de la surface du cuivre et le retrait de l oxyde natif de cuivre par un plasma réducteur, (ii) la siliciuration par CVD et (iii) la nitruration par PECVD. En ce qui concerne la siliciuration, son efficacité se révèle être fortement dépendante de la microstructure du cuivre ansi que de l orientation cristalline de ses grains. Comme les propriétés microstructurale et cristallographique du cuivre sont 48

L PRESENTATION GENERALE SCPIO

L PRESENTATION GENERALE SCPIO L PRESENTATION GENERALE SCPIO Nom : DEPARTEMENT PLATEFORME TECHNOLOGIQUE Sigle: CEA / DRT / LETI / DPTS SILICUIM Etablissement : CEA Grenoble Adresse : 7 rue des Martyrs Site Web : 804 GRENOBLE Cedex 9

Plus en détail

THEME 2. LE SPORT CHAP 1. MESURER LA MATIERE: LA MOLE

THEME 2. LE SPORT CHAP 1. MESURER LA MATIERE: LA MOLE THEME 2. LE SPORT CHAP 1. MESURER LA MATIERE: LA MOLE 1. RAPPEL: L ATOME CONSTITUANT DE LA MATIERE Toute la matière de l univers, toute substance, vivante ou inerte, est constituée à partir de particules

Plus en détail

Chapitre 02. La lumière des étoiles. Exercices :

Chapitre 02. La lumière des étoiles. Exercices : Chapitre 02 La lumière des étoiles. I- Lumière monochromatique et lumière polychromatique. )- Expérience de Newton (642 727). 2)- Expérience avec la lumière émise par un Laser. 3)- Radiation et longueur

Plus en détail

MESURE DE LA TEMPERATURE

MESURE DE LA TEMPERATURE 145 T2 MESURE DE LA TEMPERATURE I. INTRODUCTION Dans la majorité des phénomènes physiques, la température joue un rôle prépondérant. Pour la mesurer, les moyens les plus couramment utilisés sont : les

Plus en détail

a-si:h/c-si heterojunction solar cells: back side assessment and improvement

a-si:h/c-si heterojunction solar cells: back side assessment and improvement a-si:h/c-si heterojunction solar cells: back side assessment and improvement Sílvia Martín de Nicolás Résumé La diminution du coût des cellules photovoltaïques en silicium cristallin (c-si) passe par une

Plus en détail

La gravure. *lagravureparvoiehumide *lagravuresèche

La gravure. *lagravureparvoiehumide *lagravuresèche La gravure Après avoir réalisé l étape de masquage par lithographie, il est alors possible d effectuer l étape de gravure. L étape de gravure consiste à éliminer toutes les zones non protégées par la résine

Plus en détail

Partie Observer : Ondes et matière CHAP 04-ACT/DOC Analyse spectrale : Spectroscopies IR et RMN

Partie Observer : Ondes et matière CHAP 04-ACT/DOC Analyse spectrale : Spectroscopies IR et RMN Partie Observer : Ondes et matière CHAP 04-ACT/DOC Analyse spectrale : Spectroscopies IR et RMN Objectifs : Exploiter un spectre infrarouge pour déterminer des groupes caractéristiques Relier un spectre

Plus en détail

Correction ex feuille Etoiles-Spectres.

Correction ex feuille Etoiles-Spectres. Correction ex feuille Etoiles-Spectres. Exercice n 1 1 )Signification UV et IR UV : Ultraviolet (λ < 400 nm) IR : Infrarouge (λ > 800 nm) 2 )Domaines des longueurs d onde UV : 10 nm < λ < 400 nm IR : 800

Plus en détail

La plate-forme Caractérisation CIM PACA

La plate-forme Caractérisation CIM PACA La plate-forme Caractérisation CIM PACA Un partenaire de choix pour la caractérisation chimique de vos matériaux Partenaires: Qui sommes-nous? La plate-forme Caractérisation CIM PACA est une association

Plus en détail

Contribution des faisceaux d ions à l élaboration de dispositifs pour l électronique souple

Contribution des faisceaux d ions à l élaboration de dispositifs pour l électronique souple Comité National Français de Radioélectricité Scientifique Section française de l Union Radio Scientifique Internationale Siège social : Académie des Sciences, Quai de Conti Paris Journées scientifiques

Plus en détail

FUSION PAR CONFINEMENT MAGNÉTIQUE

FUSION PAR CONFINEMENT MAGNÉTIQUE FUSION PAR CONFINEMENT MAGNÉTIQUE Séminaire de Xavier GARBET pour le FIP 06/01/2009 Anthony Perret Michel Woné «La production d'énergie par fusion thermonucléaire contrôlée est un des grands défis scientifiques

Plus en détail

Chapitre 11 Bilans thermiques

Chapitre 11 Bilans thermiques DERNIÈRE IMPRESSION LE 30 août 2013 à 15:40 Chapitre 11 Bilans thermiques Table des matières 1 L état macroscopique et microcospique de la matière 2 2 Énergie interne d un système 2 2.1 Définition.................................

Plus en détail

Application à l astrophysique ACTIVITE

Application à l astrophysique ACTIVITE Application à l astrophysique Seconde ACTIVITE I ) But : Le but de l activité est de donner quelques exemples d'utilisations pratiques de l analyse spectrale permettant de connaître un peu mieux les étoiles.

Plus en détail

Figure 1 : Diagramme énergétique de la photo émission. E B = hν - E C

Figure 1 : Diagramme énergétique de la photo émission. E B = hν - E C ANALYSE XPS (ESCA) I - Principe La spectroscopie XPS (X-Ray Photoelectron Spectroscopy) ou ESCA (Electron Spectroscopy for Chemical Analysis) est basée sur la photo émission. Lors de l'irradiation par

Plus en détail

1 ère partie : tous CAP sauf hôtellerie et alimentation CHIMIE ETRE CAPABLE DE. PROGRAMME - Atomes : structure, étude de quelques exemples.

1 ère partie : tous CAP sauf hôtellerie et alimentation CHIMIE ETRE CAPABLE DE. PROGRAMME - Atomes : structure, étude de quelques exemples. Référentiel CAP Sciences Physiques Page 1/9 SCIENCES PHYSIQUES CERTIFICATS D APTITUDES PROFESSIONNELLES Le référentiel de sciences donne pour les différentes parties du programme de formation la liste

Plus en détail

Atelier : L énergie nucléaire en Astrophysique

Atelier : L énergie nucléaire en Astrophysique Atelier : L énergie nucléaire en Astrophysique Elisabeth Vangioni Institut d Astrophysique de Paris Fleurance, 8 Août 2005 Une calculatrice, une règle et du papier quadrillé sont nécessaires au bon fonctionnement

Plus en détail

ANALYSE SPECTRALE. monochromateur

ANALYSE SPECTRALE. monochromateur ht ANALYSE SPECTRALE Une espèce chimique est susceptible d interagir avec un rayonnement électromagnétique. L étude de l intensité du rayonnement (absorbé ou réémis) en fonction des longueurs d ode s appelle

Plus en détail

Semi-conducteurs. 1 Montage expérimental. Expérience n 29

Semi-conducteurs. 1 Montage expérimental. Expérience n 29 Expérience n 29 Semi-conducteurs Description Le but de cette expérience est la mesure de l énergie d activation intrinsèque de différents échantillons semiconducteurs. 1 Montage expérimental Liste du matériel

Plus en détail

GENERALITES SUR LA MESURE DE TEMPERATURE

GENERALITES SUR LA MESURE DE TEMPERATURE Distributeur exclusif de GENERALITES SUR LA MESURE DE TEMPERATURE INTRODUCTION...2 GENERALITES SUR LA MESURE DE TEMPERATURE...2 La température...2 Unités de mesure de température...3 Echelle de température...3

Plus en détail

BTS BAT 1 Notions élémentaires de chimie 1

BTS BAT 1 Notions élémentaires de chimie 1 BTS BAT 1 Notions élémentaires de chimie 1 I. L ATOME NOTIONS EÉLEÉMENTAIRES DE CIMIE Les atomes sont des «petits grains de matière» qui constituent la matière. L atome est un système complexe que l on

Plus en détail

On peut être «lourd» et agile!

On peut être «lourd» et agile! éditorial Traitements & Matériaux 412 Octobre - Novembre 2011 3 On peut être «lourd» et agile! La métallurgie est considérée comme une industrie «lourde», les traitements thermiques comme de «vieux» procédés,

Plus en détail

Rappels sur les couples oxydantsréducteurs

Rappels sur les couples oxydantsréducteurs CHAPITRE 1 TRANSFORMATIONS LENTES ET RAPIDES 1 Rappels sur les couples oxydantsréducteurs 1. Oxydants et réducteurs Un réducteur est une espèce chimique capable de céder au moins un électron Demi-équation

Plus en détail

PHYSIQUE-CHIMIE. Partie I - Spectrophotomètre à réseau

PHYSIQUE-CHIMIE. Partie I - Spectrophotomètre à réseau PHYSIQUE-CHIMIE L absorption des radiations lumineuses par la matière dans le domaine s étendant du proche ultraviolet au très proche infrarouge a beaucoup d applications en analyse chimique quantitative

Plus en détail

Lycée Galilée Gennevilliers. chap. 6. JALLU Laurent. I. Introduction... 2 La source d énergie nucléaire... 2

Lycée Galilée Gennevilliers. chap. 6. JALLU Laurent. I. Introduction... 2 La source d énergie nucléaire... 2 Lycée Galilée Gennevilliers L'énergie nucléaire : fusion et fission chap. 6 JALLU Laurent I. Introduction... 2 La source d énergie nucléaire... 2 II. Équivalence masse-énergie... 3 Bilan de masse de la

Plus en détail

Comprendre l Univers grâce aux messages de la lumière

Comprendre l Univers grâce aux messages de la lumière Seconde / P4 Comprendre l Univers grâce aux messages de la lumière 1/ EXPLORATION DE L UNIVERS Dans notre environnement quotidien, les dimensions, les distances sont à l échelle humaine : quelques mètres,

Plus en détail

Résonance Magnétique Nucléaire : RMN

Résonance Magnétique Nucléaire : RMN 21 Résonance Magnétique Nucléaire : RMN Salle de TP de Génie Analytique Ce document résume les principaux aspects de la RMN nécessaires à la réalisation des TP de Génie Analytique de 2ème année d IUT de

Plus en détail

Eléments de caractérisation des diamants naturels et synthétiques colorés

Eléments de caractérisation des diamants naturels et synthétiques colorés Actualités gemmologiques Eléments de caractérisation des diamants naturels et synthétiques colorés Dr. Erel Eric 1 Développés depuis les années 50 pour leurs applications dans les domaines des abrasifs,

Plus en détail

Professeur Eva PEBAY-PEYROULA

Professeur Eva PEBAY-PEYROULA 3-1 : Physique Chapitre 8 : Le noyau et les réactions nucléaires Professeur Eva PEBAY-PEYROULA Année universitaire 2010/2011 Université Joseph Fourier de Grenoble - Tous droits réservés. Finalité du chapitre

Plus en détail

INTRODUCTION À LA SPECTROSCOPIE

INTRODUCTION À LA SPECTROSCOPIE INTRODUCTION À LA SPECTROSCOPIE Table des matières 1 Introduction : 2 2 Comment obtenir un spectre? : 2 2.1 Étaller la lumière :...................................... 2 2.2 Quelques montages possibles

Plus en détail

Interactions des rayonnements avec la matière

Interactions des rayonnements avec la matière UE3-1 : Biophysique Chapitre 2 : Interactions des rayonnements avec la matière Professeur Jean-Philippe VUILLEZ Année universitaire 2011/2012 Université Joseph Fourier de Grenoble - Tous droits réservés.

Plus en détail

Chapitre n 6 MASSE ET ÉNERGIE DES NOYAUX

Chapitre n 6 MASSE ET ÉNERGIE DES NOYAUX Chapitre n 6 MASSE ET ÉNERGIE DES NOYAUX T ale S Introduction : Une réaction nucléaire est Une réaction nucléaire provoquée est L'unité de masse atomique est une unité permettant de manipuler aisément

Plus en détail

Compléments - Chapitre 5 Spectroscopie

Compléments - Chapitre 5 Spectroscopie ompléments - hapitre 5 Spectroscopie Spectroscopie par résonance magnétique nucléaire (RMN 13 ) Tandis que la spectroscopie RMN 1 H fournit des données sur la disposition des atomes d'hydrogène dans une

Plus en détail

SIMULATION DU PROCÉDÉ DE FABRICATION DIRECTE DE PIÈCES THERMOPLASTIQUES PAR FUSION LASER DE POUDRE

SIMULATION DU PROCÉDÉ DE FABRICATION DIRECTE DE PIÈCES THERMOPLASTIQUES PAR FUSION LASER DE POUDRE SIMULATION DU PROCÉDÉ DE FABRICATION DIRECTE DE PIÈCES THERMOPLASTIQUES PAR FUSION LASER DE POUDRE Denis DEFAUCHY Gilles REGNIER Patrice PEYRE Amine AMMAR Pièces FALCON - Dassault Aviation 1 Présentation

Plus en détail

Mario Geiger octobre 08 ÉVAPORATION SOUS VIDE

Mario Geiger octobre 08 ÉVAPORATION SOUS VIDE ÉVAPORATION SOUS VIDE 1 I SOMMAIRE I Sommaire... 2 II Évaporation sous vide... 3 III Description de l installation... 5 IV Travail pratique... 6 But du travail... 6 Principe... 6 Matériel... 6 Méthodes...

Plus en détail

Capacité Métal-Isolant-Semiconducteur (MIS)

Capacité Métal-Isolant-Semiconducteur (MIS) apacité Métal-solant-Semiconducteur (MS) 1-onstitution Une structure Métal-solant-Semiconducteur (MS) est constituée d'un empilement de trois couches : un substrat semiconducteur sur lequel on a déposé

Plus en détail

Niveau 2 nde THEME : L UNIVERS. Programme : BO spécial n 4 du 29/04/10 L UNIVERS

Niveau 2 nde THEME : L UNIVERS. Programme : BO spécial n 4 du 29/04/10 L UNIVERS Document du professeur 1/7 Niveau 2 nde THEME : L UNIVERS Physique Chimie SPECTRES D ÉMISSION ET D ABSORPTION Programme : BO spécial n 4 du 29/04/10 L UNIVERS Les étoiles : l analyse de la lumière provenant

Plus en détail

Les Rencontres Scientifiques Colas

Les Rencontres Scientifiques Colas Les Rencontres Scientifiques Colas «L avenir du véhicule électrique» 2 juin 2009 avec Yves CHABRE Docteur ès-sciences Consultant pour véhicules électriques et Pierre MIDROUILLET Directeur Général de PVI

Plus en détail

SUIVI CINETIQUE PAR SPECTROPHOTOMETRIE (CORRECTION)

SUIVI CINETIQUE PAR SPECTROPHOTOMETRIE (CORRECTION) Terminale S CHIMIE TP n 2b (correction) 1 SUIVI CINETIQUE PAR SPECTROPHOTOMETRIE (CORRECTION) Objectifs : Déterminer l évolution de la vitesse de réaction par une méthode physique. Relier l absorbance

Plus en détail

CHAPITRE 2 : Structure électronique des molécules

CHAPITRE 2 : Structure électronique des molécules CHAPITRE 2 : Structure électronique des molécules I. La liaison covalente 1) Formation d une liaison covalente Les molécules sont des assemblages d atomes liés par des liaisons chimiques résultant d interactions

Plus en détail

Chapitre 4 - Spectroscopie rotationnelle

Chapitre 4 - Spectroscopie rotationnelle Chapitre 4 - Spectroscopie rotationnelle 5.1 Classification Déterminer à quelle catégorie (sphérique, symétrique, asymétrique) appartiennent ces molécules : a) CH 4, b) CH 3 F, c) CH 3 D, d) SF 6, e) HCN,

Plus en détail

Circuits intégrés micro-ondes

Circuits intégrés micro-ondes Chapitre 7 Circuits intégrés micro-ondes Ce chapitre sert d introduction aux circuits intégrés micro-ondes. On y présentera les éléments de base (résistance, capacitance, inductance), ainsi que les transistors

Plus en détail

Chapitre XIV BASES PHYSIQUES QUANTITATIVES DES LOIS DE COMPORTEMENT MÉCANIQUE. par S. CANTOURNET 1 ELASTICITÉ

Chapitre XIV BASES PHYSIQUES QUANTITATIVES DES LOIS DE COMPORTEMENT MÉCANIQUE. par S. CANTOURNET 1 ELASTICITÉ Chapitre XIV BASES PHYSIQUES QUANTITATIVES DES LOIS DE COMPORTEMENT MÉCANIQUE par S. CANTOURNET 1 ELASTICITÉ Les propriétés mécaniques des métaux et alliages sont d un grand intérêt puisqu elles conditionnent

Plus en détail

Utilisation des matériaux magnétostrictifs filaires comme capteurs de mesure de champ magnétique

Utilisation des matériaux magnétostrictifs filaires comme capteurs de mesure de champ magnétique Utilisation des matériaux magnétostrictifs filaires comme capteurs de mesure de champ magnétique Eric CRESCENZO 1 Evagelos HRISTOFOROU 2 1) IXTREM 9 rue Edouard Denis Baldus, F-711 CHALON SUR SAONE Tél

Plus en détail

Microstructure des soudures de titane. Paul Danielson, Rick Wilson, et David Alman U. S. Department of Energy, Albany Research Center Albany, Orégon

Microstructure des soudures de titane. Paul Danielson, Rick Wilson, et David Alman U. S. Department of Energy, Albany Research Center Albany, Orégon Microstructure des soudures de titane Paul Danielson, Rick Wilson, et David Alman U. S. Department of Energy, Albany Research Center Albany, Orégon Revue de Matérialographie Struers 3 / 2004 Structure

Plus en détail

M1 - MP057. Microscopie Électronique en Transmission Diffraction Imagerie

M1 - MP057. Microscopie Électronique en Transmission Diffraction Imagerie M1 - MP057 Microscopie Électronique en Transmission Diffraction Imagerie Nicolas Menguy Institut de Minéralogie et Physique des Milieux Condensés Plan Le microscope électronique en transmission : - colonne,

Plus en détail

Projet de parc éolien en mer au large de Courseulles-sur-Mer

Projet de parc éolien en mer au large de Courseulles-sur-Mer ÉOLIENNES OFFSHORE DU CALVADOS avril 2013 Projet de parc éolien en mer au large de Courseulles-sur-Mer Synthèse d étude relative à la protection des câbles Sommaire 1. CONTEXTE ET OBJECTIF DE L ETUDE...

Plus en détail

8/10/10. Les réactions nucléaires

8/10/10. Les réactions nucléaires Les réactions nucléaires En 1900, à Montréal, Rutherford observa un effet curieux, lors de mesures de l'intensité du rayonnement d'une source de thorium [...]. L'intensité n'était pas la même selon que

Plus en détail

LES RÉPLIQUES MÉTALLOGRAPHIQUES

LES RÉPLIQUES MÉTALLOGRAPHIQUES CONGRÈS MATÉRIAUX 2014 Colloque 6 «Corrosion, vieillissement, durabilité, endommagement» LES RÉPLIQUES MÉTALLOGRAPHIQUES Une méthode non destructive pour contrôler le vieillissement et l endommagement

Plus en détail

Etude des nanofils en trois dimensions et à l échelle atomique par sonde atomique tomographique.

Etude des nanofils en trois dimensions et à l échelle atomique par sonde atomique tomographique. Etude des nanofils en trois dimensions et à l échelle atomique par sonde atomique tomographique. Mike El Kousseifi K. Hoummada, F. Panciera, D. Mangelinck IM2NP, Aix Marseille Université-CNRS, Faculté

Plus en détail

APPLICATIONS DE L'IMPLANTATION IONIQUE POUR LE BIOMEDICAL

APPLICATIONS DE L'IMPLANTATION IONIQUE POUR LE BIOMEDICAL Ion Beam Services ZI Peynier / Rousset Rue G. Imbert Prolongée 13790 Peynier, France Tel. : +33 4 42 53 89 53 Fax : + 33 4 42 53 89 59 Email : frank.torregrosa@ion-beam-services.fr APPLICATIONS DE L'IMPLANTATION

Plus en détail

Polissage des Miroirs d Advanced Virgo : un nouveau défi. Les solutions envisagées

Polissage des Miroirs d Advanced Virgo : un nouveau défi. Les solutions envisagées Polissage des Miroirs d Advanced Virgo : un nouveau défi Les solutions envisagées Laurent PINARD Responsable Technique Laboratoire des Matériaux Avancés - Lyon 1 Plan de l exposé Introduction Virgo, les

Plus en détail

Le câble de Fibre Optique dans les installations de Vidéo Surveillance (CCTV)

Le câble de Fibre Optique dans les installations de Vidéo Surveillance (CCTV) Le câble de Fibre Optique dans les installations de Vidéo Surveillance (CCTV) Évidemment, l emploi le plus fréquent de la fibre optique se trouve dans le domaine des télécommunications. Mais d autre part,

Plus en détail

BALAIS Moteur (charbons)

BALAIS Moteur (charbons) BALAIS Moteur (charbons) 1/ Rôle a) Pour les machines électriques comportant des bagues (alternateur moteur asynchrone) : moteur universel Les balais doivent maintenir un contact constant avec la bague

Plus en détail

Origine du courant électrique Constitution d un atome

Origine du courant électrique Constitution d un atome Origine du courant électrique Constitution d un atome Electron - Neutron ORIGINE DU COURANT Proton + ELECTRIQUE MATERIAUX CONDUCTEURS Électrons libres CORPS ISOLANTS ET CORPS CONDUCTEURS L électricité

Plus en détail

Différents types de matériaux magnétiques

Différents types de matériaux magnétiques Différents types de matériaux magnétiques Lien entre propriétés microscopiques et macroscopiques Dans un matériau magnétique, chaque atome porte un moment magnétique µ (équivalent microscopique de l aiguille

Plus en détail

Molécules et Liaison chimique

Molécules et Liaison chimique Molécules et liaison chimique Molécules et Liaison chimique La liaison dans La liaison dans Le point de vue classique: l approche l de deux atomes d hydrogd hydrogènes R -0,9-1 0 0,5 1 1,5,5 3 3,5 4 R

Plus en détail

Qu est ce qu un gaz comprimé?

Qu est ce qu un gaz comprimé? Qu est ce qu un gaz comprimé? Il existe plusieurs produits à base de gaz ou de mélanges de gaz sous pression conservés dans des bouteilles 1. La plupart de ces gaz sont classés dans la catégorie des «gaz

Plus en détail

TABLE DES MATIÈRES CHAPITRE I. Les quanta s invitent

TABLE DES MATIÈRES CHAPITRE I. Les quanta s invitent TABLE DES MATIÈRES AVANT-PROPOS III CHAPITRE I Les quanta s invitent I-1. L Univers est en constante évolution 2 I-2. L âge de l Univers 4 I-2.1. Le rayonnement fossile témoigne 4 I-2.2. Les amas globulaires

Plus en détail

- I - Fonctionnement d'un détecteur γ de scintillation

- I - Fonctionnement d'un détecteur γ de scintillation U t i l i s a t i o n d u n s c i n t i l l a t e u r N a I M e s u r e d e c o e ffi c i e n t s d a t t é n u a t i o n Objectifs : Le but de ce TP est d étudier les performances d un scintillateur pour

Plus en détail

contributions Les multiples de la chimie dans la conception des tablettes et des Smartphones Jean-Charles Flores

contributions Les multiples de la chimie dans la conception des tablettes et des Smartphones Jean-Charles Flores Les multiples contributions de la chimie dans la conception des tablettes et des Smartphones Jean-Charles Flores Jean-Charles Flores est spécialiste de l électronique organique au sein de la société BASF

Plus en détail

HRP H 2 O 2. O-nitro aniline (λmax = 490 nm) O-phénylène diamine NO 2 NH 2

HRP H 2 O 2. O-nitro aniline (λmax = 490 nm) O-phénylène diamine NO 2 NH 2 ! #"%$'&#()"*!(,+.-'/0(,()1)2"%$ Avant d effectuer le dosage en IR de la biotine, il est nécessaire de s assurer de la reconnaissance du traceur par la streptavidine immobilisée sur les puits. Pour cela,

Plus en détail

Contribution à la conception par la simulation en électronique de puissance : application à l onduleur basse tension

Contribution à la conception par la simulation en électronique de puissance : application à l onduleur basse tension Contribution à la conception par la simulation en électronique de puissance : application à l onduleur basse tension Cyril BUTTAY CEGELY VALEO 30 novembre 2004 Cyril BUTTAY Contribution à la conception

Plus en détail

Mesures et incertitudes

Mesures et incertitudes En physique et en chimie, toute grandeur, mesurée ou calculée, est entachée d erreur, ce qui ne l empêche pas d être exploitée pour prendre des décisions. Aujourd hui, la notion d erreur a son vocabulaire

Plus en détail

TP : Suivi d'une réaction par spectrophotométrie

TP : Suivi d'une réaction par spectrophotométrie Nom : Prénom: n groupe: TP : Suivi d'une réaction par spectrophotométrie Consignes de sécurité de base: Porter une blouse en coton, pas de nu-pieds Porter des lunettes, des gants (en fonction des espèces

Plus en détail

1.2 Coordinence. Notion de liaison de coordinence : Cas de NH 3. et NH 4+ , 3 liaisons covalentes + 1 liaison de coordinence.

1.2 Coordinence. Notion de liaison de coordinence : Cas de NH 3. et NH 4+ , 3 liaisons covalentes + 1 liaison de coordinence. Règle de l octet : tendance qu on les atomes à s entourer de 8 électrons dans l édifice moléculaire. Ce n est pas une règle générale. Composés respectant la règle de l octet Composés ne respectant pas

Plus en détail

L équilibre offre-demande d électricité en France pour l été 2015

L équilibre offre-demande d électricité en France pour l été 2015 L équilibre offre-demande d électricité en France pour l été 2015 Synthèse Juin 2015 Sommaire Analyse globale 4 1. Prévision de consommation 5 2. Disponibilité du parc de production 7 3. Étude du risque

Plus en détail

Collection de photos échantillons

Collection de photos échantillons Collection de photos échantillons SB-800/600 Entrez dans le monde passionnant du Système d Eclairage Créatif de Nikon avec le SB-800/600. Les numéros de page se rapportent aux explications dans le manuel

Plus en détail

Plan du chapitre «Milieux diélectriques»

Plan du chapitre «Milieux diélectriques» Plan du chapitre «Milieux diélectriques» 1. Sources microscopiques de la polarisation en régime statique 2. Etude macroscopique de la polarisation en régime statique 3. Susceptibilité diélectrique 4. Polarisation

Plus en détail

U-31 CHIMIE-PHYSIQUE INDUSTRIELLES

U-31 CHIMIE-PHYSIQUE INDUSTRIELLES Session 200 BREVET de TECHNICIEN SUPÉRIEUR CONTRÔLE INDUSTRIEL et RÉGULATION AUTOMATIQUE E-3 SCIENCES PHYSIQUES U-3 CHIMIE-PHYSIQUE INDUSTRIELLES Durée : 2 heures Coefficient : 2,5 Durée conseillée Chimie

Plus en détail

EXERCICE II. SYNTHÈSE D UN ANESTHÉSIQUE : LA BENZOCAÏNE (9 points)

EXERCICE II. SYNTHÈSE D UN ANESTHÉSIQUE : LA BENZOCAÏNE (9 points) Bac S 2015 Antilles Guyane http://labolycee.org EXERCICE II. SYNTHÈSE D UN ANESTHÉSIQUE : LA BENZOCAÏNE (9 points) La benzocaïne (4-aminobenzoate d éthyle) est utilisée en médecine comme anesthésique local

Plus en détail

Le comportement des combustibles nucléaires dans les

Le comportement des combustibles nucléaires dans les Un outil pour les études sur le combustible : Le comportement des combustibles nucléaires dans les réacteurs de puissance est un domaine de R&D très important, nécessitant des expériences instrumentées

Plus en détail

Consolidation des argiles. CUI Yu-Jun ENPC-CERMES, INSTITUT NAVIER

Consolidation des argiles. CUI Yu-Jun ENPC-CERMES, INSTITUT NAVIER Consolidation des argiles CUI Yu-Jun ENPC-CERMES, INSTITUT NAVIER Plan Introduction Argiles Phénomène de consolidation Essais de consolidation Equation de la consolidation Degré de consolidation et facteur

Plus en détail

EXERCICE 2 : SUIVI CINETIQUE D UNE TRANSFORMATION PAR SPECTROPHOTOMETRIE (6 points)

EXERCICE 2 : SUIVI CINETIQUE D UNE TRANSFORMATION PAR SPECTROPHOTOMETRIE (6 points) BAC S 2011 LIBAN http://labolycee.org EXERCICE 2 : SUIVI CINETIQUE D UNE TRANSFORMATION PAR SPECTROPHOTOMETRIE (6 points) Les parties A et B sont indépendantes. A : Étude du fonctionnement d un spectrophotomètre

Plus en détail

Principe de fonctionnement de la façade active Lucido. K:\15.Lucido \Dossier d'envoi\annexe\2011_12_explicatif du principe de la façade Lucido.

Principe de fonctionnement de la façade active Lucido. K:\15.Lucido \Dossier d'envoi\annexe\2011_12_explicatif du principe de la façade Lucido. Principe de fonctionnement de la façade active Lucido K:\15.Lucido \Dossier d'envoi\annexe\2011_12_explicatif du principe de la façade Lucido.doc 0. Préambule Le présent document est élaboré dans le but

Plus en détail

PLATE-FORME DE MICROSCOPIE ÉLECTRONIQUE À TRANSMISSION

PLATE-FORME DE MICROSCOPIE ÉLECTRONIQUE À TRANSMISSION PLATE-FORME DE MICROSCOPIE ÉLECTRONIQUE À TRANSMISSION Dr. Mohamed SENNOUR Responsable de la plate-forme JOURNÉE PLATES-FORMES EVRY, GÉNOCENTRE 25 juin 2013 Contexte et historique 2000 : constitution du

Plus en détail

PROPOSITION TECHNIQUE ET FINANCIERE

PROPOSITION TECHNIQUE ET FINANCIERE Avenue des Etangs Narbonne, F-11100, France Votre correspondant : Romain CRESSON INRA Transfert Environnement Avenue des Etangs Narbonne, F-11100, France Tel: +33 (0)4 68 46 64 32 Fax: +33 (0)4 68 42 51

Plus en détail

Équivalence masse-énergie

Équivalence masse-énergie CHPITRE 5 NOYUX, MSSE ET ÉNERGIE Équivalence masse-énergie. Équivalence masse-énergie Einstein a montré que la masse constitue une forme d énergie appelée énergie de masse. La relation entre la masse (en

Plus en détail

Transformations nucléaires

Transformations nucléaires I Introduction Activité p286 du livre Transformations nucléaires II Les transformations nucléaires II.a Définition La désintégration radioactive d un noyau est une transformation nucléaire particulière

Plus en détail

SCIENCES PHYSIQUES. Durée : 3 heures. L usage d une calculatrice est interdit pour cette épreuve. CHIMIE

SCIENCES PHYSIQUES. Durée : 3 heures. L usage d une calculatrice est interdit pour cette épreuve. CHIMIE Banque «Agro-Véto» Technologie et Biologie AT - 0310 SCIECES PYSIQUES Durée : 3 heures L usage d une calculatrice est interdit pour cette épreuve. Si, au cours de l épreuve, un candidat repère ce qui lui

Plus en détail

Etudier le diagramme température-pression, en particulier le point triple de l azote.

Etudier le diagramme température-pression, en particulier le point triple de l azote. K4. Point triple de l azote I. BUT DE LA MANIPULATION Etudier le diagramme température-pression, en particulier le point triple de l azote. II. BASES THEORIQUES Etats de la matière La matière est constituée

Plus en détail

DISQUE DUR. Figure 1 Disque dur ouvert

DISQUE DUR. Figure 1 Disque dur ouvert DISQUE DUR Le sujet est composé de 8 pages et d une feuille format A3 de dessins de détails, la réponse à toutes les questions sera rédigée sur les feuilles de réponses jointes au sujet. Toutes les questions

Plus en détail

a. Fusion et énergie de liaison des noyaux b. La barrière Coulombienne c. Effet tunnel & pic de Gamov

a. Fusion et énergie de liaison des noyaux b. La barrière Coulombienne c. Effet tunnel & pic de Gamov V. Les réactions r thermonucléaires 1. Principes a. Fusion et énergie de liaison des noyaux b. La barrière Coulombienne c. Effet tunnel & pic de Gamov 2. Taux de réactions r thermonucléaires a. Les sections

Plus en détail

L énergie sous toutes ses formes : définitions

L énergie sous toutes ses formes : définitions L énergie sous toutes ses formes : définitions primaire, énergie secondaire, utile ou finale. Quelles sont les formes et les déclinaisons de l énergie? D après le dictionnaire de l Académie française,

Plus en détail

Notice d Utilisation du logiciel Finite Element Method Magnetics version 3.4 auteur: David Meeker

Notice d Utilisation du logiciel Finite Element Method Magnetics version 3.4 auteur: David Meeker Notice d Utilisation du logiciel Finite Element Method Magnetics version 3.4 auteur: David Meeker DeCarvalho Adelino adelino.decarvalho@iutc.u-cergy.fr septembre 2005 Table des matières 1 Introduction

Plus en détail

SECTEUR 4 - Métiers de la santé et de l hygiène

SECTEUR 4 - Métiers de la santé et de l hygiène SECTEUR 4 - Métiers de la santé et de l hygiène A lire attentivement par les candidats Sujet à traiter par tous les candidats inscrit au BEP Les candidats répondront sur la copie. Les annexes éventuelles

Plus en détail

Chap 1: Toujours plus vite... Introduction: Comment déterminer la vitesse d une voiture?

Chap 1: Toujours plus vite... Introduction: Comment déterminer la vitesse d une voiture? Thème 2 La sécurité Chap 1: Toujours plus vite... Introduction: Comment déterminer la vitesse d une voiture?! Il faut deux informations Le temps écoulé La distance parcourue Vitesse= distance temps > Activité

Plus en détail

Chapitre 7. Circuits Magnétiques et Inductance. 7.1 Introduction. 7.1.1 Production d un champ magnétique

Chapitre 7. Circuits Magnétiques et Inductance. 7.1 Introduction. 7.1.1 Production d un champ magnétique Chapitre 7 Circuits Magnétiques et Inductance 7.1 Introduction 7.1.1 Production d un champ magnétique Si on considère un conducteur cylindrique droit dans lequel circule un courant I (figure 7.1). Ce courant

Plus en détail

Introduction. I Étude rapide du réseau - Apprentissage. II Application à la reconnaissance des notes.

Introduction. I Étude rapide du réseau - Apprentissage. II Application à la reconnaissance des notes. Introduction L'objectif de mon TIPE est la reconnaissance de sons ou de notes de musique à l'aide d'un réseau de neurones. Ce réseau doit être capable d'apprendre à distinguer les exemples présentés puis

Plus en détail

Compétence 3-1 S EXPRIMER A L ECRIT Fiche professeur

Compétence 3-1 S EXPRIMER A L ECRIT Fiche professeur Compétence 3-1 S EXPRIMER A L ECRIT Fiche professeur Nature de l activité : Réaliser 3 types de productions écrites (réécriture de notes, production d une synthèse de documents, production d une argumentation)

Plus en détail

De la micro à la nano-électronique

De la micro à la nano-électronique De la micro à la nano-électronique Christian Ngô ECRIN LT M ngo@ecrin.asso.fr LE PUCE MICR-ELECTRNIQUE AU QUTIDIEN Cafetière électrique 1 puce 10 000 T Pèse personne 1 puce 10 000 T Télévision 10 puces

Plus en détail

Séquence 9. Étudiez le chapitre 11 de physique des «Notions fondamentales» : Physique : Dispersion de la lumière

Séquence 9. Étudiez le chapitre 11 de physique des «Notions fondamentales» : Physique : Dispersion de la lumière Séquence 9 Consignes de travail Étudiez le chapitre 11 de physique des «Notions fondamentales» : Physique : Dispersion de la lumière Travaillez les cours d application de physique. Travaillez les exercices

Plus en détail

L École nationale des pompiers du Québec. Dans le cadre de son programme de formation Pompier I

L École nationale des pompiers du Québec. Dans le cadre de son programme de formation Pompier I L École nationale des pompiers du Québec Dans le cadre de son programme de formation Pompier I QUATRIÈME ÉDITION MANUEL DE LUTTE CONTRE L INCENDIE EXPOSÉ DU PROGRAMME D ÉTUDES POMPIER 1 SUJET 4 Énergie

Plus en détail

PRINCIPE MICROSCOPIE CONFOCALE

PRINCIPE MICROSCOPIE CONFOCALE PRINCIPE MICROSCOPIE CONFOCALE Un microscope confocal est un système pour lequel l'illumination et la détection sont limités à un même volume de taille réduite (1). L'image confocale (ou coupe optique)

Plus en détail

Chapitre 1: Les métaux et leurs utilisations

Chapitre 1: Les métaux et leurs utilisations Chapitre 1: Les métaux et leurs utilisations 1. Depuis quand utilise-t-on les métaux? 1) Le seul métal qui existe à l état natif est l or. 2) Les métaux obtenus à partir de minerais sont l argent, le cuivre,

Plus en détail

LA VIRTUALISATION. Etude de la virtualisation, ses concepts et ses apports dans les infrastructures informatiques. 18/01/2010.

LA VIRTUALISATION. Etude de la virtualisation, ses concepts et ses apports dans les infrastructures informatiques. 18/01/2010. Guillaume ANSEL M2 ISIDIS 2009-2010 / ULCO Dossier d étude sur la virtualisation LA VIRTUALISATION 18/01/2010 Etude de la virtualisation, ses concepts et ses apports dans les infrastructures informatiques.

Plus en détail

Comment réaliser physiquement un ordinateur quantique. Yves LEROYER

Comment réaliser physiquement un ordinateur quantique. Yves LEROYER Comment réaliser physiquement un ordinateur quantique Yves LEROYER Enjeu: réaliser physiquement -un système quantique à deux états 0 > ou 1 > -une porte à un qubitconduisant à l état générique α 0 > +

Plus en détail

Module d Electricité. 2 ème partie : Electrostatique. Fabrice Sincère (version 3.0.1) http://pagesperso-orange.fr/fabrice.sincere

Module d Electricité. 2 ème partie : Electrostatique. Fabrice Sincère (version 3.0.1) http://pagesperso-orange.fr/fabrice.sincere Module d Electricité 2 ème partie : Electrostatique Fabrice Sincère (version 3.0.1) http://pagesperso-orange.fr/fabrice.sincere 1 Introduction Principaux constituants de la matière : - protons : charge

Plus en détail

Traitement de l eau par flux dynamique

Traitement de l eau par flux dynamique GmbH Traitement de l eau par flux dynamique afin de réduire les impuretés microbiologiques afin d empêcher l apparition de nouveaux germes dans les eaux de consommation et de process et Nouveau avec certificat

Plus en détail

Version 1. Demandeur de l étude : VM - BETON SERVICES 51 Boulevard des Marchandises 85260 L'HERBERGEMENT. Auteur * Approbateur Vérificateur(s)

Version 1. Demandeur de l étude : VM - BETON SERVICES 51 Boulevard des Marchandises 85260 L'HERBERGEMENT. Auteur * Approbateur Vérificateur(s) Département Enveloppe et Revêtements Division HygroThermique des Ouvrages N affaire : 12-007 Le 10 avril 2012 Réf. DER/HTO 2012-093-BB/LS CALCUL DES COEFFICIENTS DE TRANSMISSION SURFACIQUE UP ET DES COEFFICIENTS

Plus en détail

SOLUTIONS TECHNOLOGIQUES D AVENIR

SOLUTIONS TECHNOLOGIQUES D AVENIR CPTF et CSC CYCLES COMBINES A GAZ (CCG) COGÉNÉRATION DÉVELOPPEMENT DES RENOUVELABLES SOLUTIONS DE STOCKAGE CPTF ET CSC Le parc thermique est un outil essentiel pour ajuster l offre et la demande, indispensable

Plus en détail